PGR21.com
- 자유 주제로 사용할 수 있는 게시판입니다.
- 토론 게시판의 용도를 겸합니다.
Date 2021/08/23 17:14:57
Name cheme
Subject 차세대 EUV 공정 경쟁에 담긴 함의
(긴글 주의. 편의상 경어체를 쓰지 않았음을 양해 부탁드립니다.)

이미 예전에 EUV 이후의 초미세 패터닝 (beyond EUV) 향방에 대한 글을 쓰기도 했지만 (https://pgr21.com/freedom/89091?divpage=18&sn=on&keyword=cheme), 글로벌 반도체 기술 경쟁이 점점 격심해져 가는 시점에서, 그리고 조금 더 실질적인 맥락에서 차세대 반도체 공정의 선두권 다툼을 논할 필요가 있어 글을 하나 더 쓴다. 차세대 반도체 공정의 핵심은 자주 언급해온 것처럼 여전히 EUV 기반 초미세 패터닝의 안정화, 현실화에 있다. 그러나 그 내부를 들여다보면 그에 걸맞는 소재와 부품의 확보, 그리고 공정 수율 확보와 에너지/후공정 비용 같은 원가 절감이 반드시 필요하다. 그것을 가능하게 하는 요소 기술은 특히 소재와 공정 장비 기술에 달려 있다. 이 글에서는 왜 이러한 핵심 요소 기술들이 중요한지, 그래서 이들에 대한 연구개발 투자를 왜 아끼면 안 되는지를 논하고자 한다.

잘 알려져 있다시피 10 nm 노드급 이하의 초미세 패터닝 영역은 이제 EUV 리소그래피 (노광 공정)으로 옮겨가고 있다. 글로벌 시장에서 사실상 유일한 EUV 노광 장비 공급 업체인 네덜란드의 ASML의 장비는 언뜻 들으면 마법 같은 과정을 거쳐 EUV 광원을 만들어 낸다. 아주 단순하게 이야기하자면 진공 중에 주석 마이크로 입자 (Sn microparticle)를 띄우고, 거기에 CO2 레이저를 매우 짧은 시간 동안 집중적으로 조사하여 발생하는 플라스마를 역이 (excitation)시켜 그로부터 13.7 nm 전후의 파장을 갖는 고에너지 광자 (photon)을 유도하고, 이를 전용 광학계를 거쳐 마스크를 통해 감광재가 코팅된 웨이퍼로 입사시키는 시스템이다. EUV 노광 장비의 개념은 90년대 이전부터 제시되었으나, 광원과 그에 매칭 되는 감광재, 마스크, 에칭 공정, 그리고 광학계의 조합은 실제 구현까지는 오랜 시간을 요했다. ASML은 거의 30년을 EUV 장비 기술 개발에 거액의 자본과 인력을 집중 투자하였으며, 특히 그중 10년 정도는 아무런 기술적 성과가 나오지 않는 암흑기를 거치기도 했는데, 만약 그 암흑기, 즉, 죽음의 계곡 (death valley)을 못 버텼더라면 EUV 기술은 여전히 현실화되지 못하고 있었을 것이다.

어렵게 현실화된 기술인만큼, 비용도 이전 세대 노광 공정과는 비교되지 않는다. 물리적으로 5 nm 노드 (물리적 pitch로는 대략 30-32 nm. 여기서 말하는 물리적 pitch란 그야말로 미세한 홈이 파져 있는 주기를 뜻한다. 시중에서 통용되는 ‘몇 나노급 노드’는 집적도를 나타낼 때 활용되는 관행적인 표현이며, 물리적 pitch를 뜻하는 것은 아니다.) 이상의 패터닝은 공학적으로는 EUV가 없어도 가능하다. 즉, TSMC와 삼성전자 파운드리에서 10 nm 노드급, 7 nm 노드급 초미세 패터닝을 한다고 했을 때, 어쨌든 EUV 이전 세대인 DUV 노광 공정만으로도 패터닝이 가능은 하다고 할 수 있다. 문제는 DUV 노광 공정을 사용하였을 시, 다중 패터닝 (multiple-patterning) 과정을 거쳐야 한다는 것이다. 단일 패터닝 공정이 물리적으로 얼마나 작은 사이즈의 패턴을 만들어 낼 수 있는지는 광원의 파장과 수차 (Numerical Aperture, NA)에 의해 결정된다. 즉, 물리적 사이즈는 K*파장/NA (i.e., K~0.5) 이하로 내려갈 수 없다. 만약 이보다 더 작은 물리적 pitch를 만들고자 한다면 수차를 늘리거나 광원의 파장을 더 짧게 만드는 수밖에 없다. 그렇지만 다중 패터닝 공정을 이용하면 이를 우회할 수 있는 길이 열린다.

다중 패터닝 공정은 말 그대로 노광 공정을 여러 번 거듭하는 것을 의미한다. 특히 원하는 패턴을 만들기 위해 여러 종류의 마스크를 위치를 조금씩 조정하거나, 노광 광원 세기/시간 등을 미세하게 조정하는 것이 이 공정의 핵심이다. 쉽게 설명하자면 이렇다. 가로*세로 1 mm*1 mm 사이즈의 모눈을 가지고 있는 모기장을 생각해 보자. 이런 모기장을 두 장 겹친다고 생각해 보자. 두 번째 모기장을 첫 번째 모기장에 대해 0.5 mm씩 가로/세로 방향으로 평행 이동한다면 이제는 가로*세로 0.5 mm*0.5 mm 사이즈의 모눈을 갖는 모기장을 만들 수 있다. 원래의 패턴 크기보다 절반의 크기를 갖는 패턴을 얻게 된 것이다. 당연히 이러한 방식을 확장한다면 더 작은 크기, 예를 들어 마이크로미터 수준의 패턴을 얻을 수도 있겠다고 생각할 수 있다. 문제는 그렇게 미세하게 마스크를 배치할 수 있는지, 그리고 광원을 입사시켰을 시 정확한 노광을 할 수 있는지 여부다. 요구되는 정밀도가 높아질수록 공정 에러도 누적되며 예기치 못한 문제점도 발생한다. 여러 장의 마스크 미세 배치와 더불어 광원의 간섭 (interference) 효과를 이용하는 방법도 있다. 예를 들어 이중 빔 간섭 (double beam interference) 같은 경우, 광원 하나를 두 개로 쪼개서 다시 합치는 과정에서 보강/상쇄 간섭에 의해 생성되는 물결무늬 패턴을 이용하는 방법이다. 물론 푸리에 광학 (Fourier optics)의 이론 상, 어떤 파동이든 간섭이 될 경우, 간섭 패턴은 직사각형 배열 패턴 같이 깔끔한 모양으로 패턴이 형성되지는 않는다. 2000년대 이후, 다중 패터닝을 통해 이론적 한계 이하로 물리적 주기를 축소하려는 기술이 제시되어 왔다. 특히, EUV 실현이 예상보다 훨씬 늦어지면서 기존의 193 nm 파장의 광원을 이용하는 DUV 노광 공정 기반으로 극한의 수준까지 패터닝하려는 self-aligned quadruple patterning (SAQP) 같은 다중 패터닝 기술이 개발되기도 했다. 이는 최근까지도 TSMC와 삼성, 그리고 하이닉스 같은 칩 메이커에서 7 nm 노드급 패터닝으로까지 활용되고 있다.

문제는 이러한 DUV 다중 패터닝 공정은 5 nm 노드급 이하로까지 적용하기는 어렵다는 것이다. 예를 들어, 이론적으로 DUV 다중 패터닝 공정을 5 nm 노드급 패터닝 공정으로 만들려면 마스크 얼라인을 100번 넘게 해야 한다. 이것이 이론적으로는 가능한 일일지 모르나, 이렇게 많은 마스킹 공정이 수반되는 공정은 원가와 수율 문제로부터 자유롭지 못하다. 매 마스킹 공정마다 누적되는 얼라인 편차, 노광 에러, 감광재의 상태 변화 등, 문제는 곳곳에서 터져 나올 수 있다. 품질 관리를 조금 신경 쓴다고 한다면, 이번에는 생산 속도가 발목을 잡는다. 한 번 검사할 것, 두 번 검사하면 그만큼 생산 속도는 줄어들기 때문이다.

이러한 상황에서 맞춤 맞게 2010년대 후반 드디어 실제 공정에 배치되기 시작한 EUV는 어떻게 보면 이제는 칩 메이커 사이에서는 피할 수 없는 대세로 보인다. 팹리스 업체들은 다양한 종류의 고성능 반도체를 만들기 위해 더 높은 집적도와 더 높은 정밀도를 요구하는데, 이에 신뢰도 있게 대응하기 위한 파운드리 공정은 다중 패터닝에만 의존하는 것에 한계가 보이고 있다. EUV의 경우, DUV보다 훨씬 짧은 파장인 13.7 nm 광원을 사용하므로, 단일 패터닝 공정에서 DUV 공정에 비해 훨씬 작은 물리적 pitch를 만들어 낼 수 있다. 여기에 다중 패터닝 공정을 적용한다고 하더라도, DUV 패터닝보다는 훨씬 적은 숫자의 마스킹 공정만 있어도 되기 때문에 장점이 생긴다. 2018년 이후 삼성과 TSMC 실 공정에 배치된 ASML의 NXE:3400C 같은 노광기의 경우, 0.33 NA에 기반하고 있으며 half-pitch는 단일 패터닝일 경우 대략 30 nm까지 내릴 수 있다. 그와 동시에 공정 조건이 최적화되었을 경우, 단일 기계에서 시간당 140장 전후의 패터닝된 웨이퍼를 생산할 수 있다.

그렇지만 EUV 노광 공정의 모든 문제가 해결된 것은 아니다. 오히려 난관은 겹겹이 쌓여 있다. 가장 취약한 문제는 패터닝 과정에서의 에러율이다. 워낙 짧은 파장 (즉, 고에너지의 광자)을 이용하는 데다가, 여러 단계의 광학계와 마스크를 통해 감광재가 코팅된 웨이퍼로 유도되는 과정이 복잡하기 때문에, 패터닝 과정에서의 에러가 생길 수 있다. 이 에러 중에 가장 제어하기 어려운 에러가 확률론적 결함 (stochastic defects)이다. 이 에러는 주로 EUV 광원에서 출발한 광자가 웨이퍼에 코팅된 감광재와 물리화학적으로 상호작용할 때 발생한다. 살짝 비껴 맞은 당구공이 주변의 당구공과 부딪히면서 전혀 다른 궤적을 그리는 것처럼, 높은 모멘텀을 갖는 광자는 감광재의 분자와 부딪히면 화학반응을 할 수도 있고, 당구공 충돌처럼 이리저리 물리적으로 산란되어 튀어다니다가 엉뚱한 곳에서 다른 분자의 전자와 상호작용할 수도 있다. 그중에서도 가장 골치 아픈, 그리고 예측이 안 되는 전자는 고에너지 광자가 감광재나 기판에 흡수되면서 랜덤 하게 생성되는, 이른바 2차 전자 (secondary electron)이라고 부르는 녀석들이며, 이들의 행보는 말 그대로 마구잡이 걷기 (random walk) 행태를 보인다. 그야말로 예측이 불가능하고 그래서 stochastic error라고 부른다. 출발한 지점을 알고 있으면 대략 반경 어디쯤에 위치하는지 정도만 추정할 수 있을 뿐, 어디로 갔는지 알 방법이 거의 없다. 이전 세대 패터닝인 DUV에서는 그나마 패터닝된 구조물의 물리적 사이즈가 아주 작지는 않았고, 광자의 에너지도 비교적 작은 편이었다. 그래서 stochastic error를 일으킬만한 요소도 거의 없었을뿐더러, 설사 생겼다고 해도 영향을 미치는 영역이 그 사이즈에 비해 충분히 좁은 편이었으므로 공정에서 큰 위험 요소가 되지는 않았다. 그런데, EUV에서는 물리적 패턴 사이즈가 작아지는 만큼, 이제는 stochastic error에 의해 영향받는 범위가 무시할 수 없는 범위가 된다는 것이 관건이 되었다. 또한 EUV 광원에서 발생한 광자는 DUV 광원에 비해 약 14배 더 높은 에너지를 가지고 있기 때문에 (즉, EUV~92 eV vs DUV~6.4 eV) 산란되는 영역이 넓어지고, 그로 인해 생기는 에러 범위도 커진다. 그리고 동일한 영역에 흡수되는 광자 개수의 변동폭 (샷 노이즈 (shot noise))도 같이 커진다. EUV의 샷 노이즈는 포아송 분포 (Poisson distribution)를 따르기 때문에, 더 좁은 영역에 더 많은 광자를 흡수시켜야 할수록, 샷 노이즈가 증가하는 것을 피하기 어렵다. 즉, EUV는 태생적으로 DUV에 비해 확률론적 에러에 취약하다. 이렇게 에러가 생긴다면 우선적으로 감광재에서 빛을 받아야 하는 영역이 빛을 덜 받을 수도 있고 빛을 받지 말아야 하는 영역이 빛을 더 받을 수도 있다. 이는 이후 빛을 받은 감광재를 현상 (developing)하는 과정에서 더 큰 에러도 발전한다. 예를 들어 패터닝된 길쭉한 선 모양을 생각해 보자. 이들이 얼마나 반듯하게 패터닝되었는지를 정량적으로 평가하는 지표로서 line-edge roughness (LER)라는 지표가 있는데, 말 그대로 깎아지른듯한 절벽이 얼마나 그 표면이 깔끔하게 깎아지른 상태인가를 측정하는 지표다. 깔끔하게 깎일수록 전자에 의한 신호 전달 효율이 높아지며 신호 전달 에러도 적게 생긴다. 이 수치가 패터닝 공정에서 누적된 stochastic error에 의해, 예를 들어 2-3 nm 정도 된다고 가정해 보자. 만약 패터닝된 선분의 너비가 100 nm 정도 된다면 이 정도의 표면 거칠기는 큰 문제가 안 될지도 모른다. 에러가 생긴다고 하더라도 95~105 nm 범위의 안정된 선폭이 나올 것이기 때문이다. 그런데 만약 30 nm 정도 되는 선폭의 선분이라면 선분의 양 옆을 합해 대략 5 nm 정도의 불확실성은 다른 문제가 된다. 즉, 35 nm 폭이 될 수도 있고, 25 nm 폭이 될 수도 있다는 것이다. 이렇게 선의 폭이 들쭉날쭉해지면 신호 전송 과정에서의 에러가 생길 수 있다. 왜냐하면 누설전류가 발생하기 때문이다. 또한 거친 표면의 선폭에서 전자들이 산란됨으로써 신호 손실이 발생할 수 있다. 추가적으로 선의 저항 자체가 달라지기 때문에 칩의 수명도 짧아질 수 있다.

두 번째 문제는 에러 검진율이다. 에러가 생기는 것과 동시에, 그 에러가 어디에서 생겼는지, 얼마나 생겼는지를 알아내는 것 역시 공정 수율을 높이고 생산 원가를 낮추는데 매우 중요하다. 충분히 감당할 만한 정도의 에러라면 그다음 공정으로 보내면 되지만, 그렇지 않은 경우라면 다음 공정으로 연결되면서 불량을 키우는 요인이 된다. 이는 수율을 깎아 먹는 주 요인이 된다. 전통적으로 패터닝 공정 이후 웨이퍼의 결함을 검사하기 위해 전자현미경 (SEM)이나 원자력 현미경 (AFM) 등으로 칩의 여기저기를 관찰하는 방법이나 광학적 특성 이상 유무로 판별하는 방법, 전기저항 이상 유무로 판별하는 방법이 사용되어 왔다. 그러나, 모든 에러와 결함을 잡아내는 것에는 한계가 있고, 이러한 검사를 무사통과한 제품은 불량품이 된다. 특히 EUV 패터닝을 거친 웨이퍼의 결함 검사는 더더욱 어렵고 복잡하다. 더 축소된 물리적 feature의 품질을 검출해야 하므로, 광학 반응도만으로는 불충분하며, 제한된 검사 시야 폭을 갖고 있는 AFM, SEM은 검사 속도가 더 느려진다. EUV 패터닝 공정의 결함 검출률과 정확도 제고를 위해 소프트웨어 기반으로 인공지능을 도입하여 공정 제어를 강화하는 방법이 있고, 물리적 검출 수단의 다양화를 획책하는 방법이 있으나, 어느 방향이든 불량품을 확실히 다 잡아낼 수 있는 방법은 없다. 불량품을 더 많이 잡아내기 위한 기술적 개선이 그래서 이 분야에서도 중요한 문제가 된다. 특히 EUV 노광 공정 같이 생산 단가가 월등히 높은 공정에서는 웨이퍼 한 장의 불량 여부는 곧바로 수익률과 연결되기 때문에 검사 기술의 업그레이드는 필수적이다. 이러한 두 가지 측면만 일단 놓고 본다면, 반도체 제조 공정이 점차 EUV 기반 노광 공정으로 넘어오면서, 이러한 에러에 얼마나 잘 대응하는지가, EUV를 얼마나 잘 운용하는지와 맞먹을 정도로 중요한 기술이 될 것이다. 일단 에러가 생기는 것을 피할 수는 없으니 에러에 대한 사후 대처 기술이 중요해진다. 예를 들어 일전에 언급한 바 있는 펠리클 (pellicle) 같은 부품 기술이 그런 기술에 해당한다. 웨이퍼 표면에 코팅된 감광재에 높은 에너지의 EUV 광자가 다량 입사하면 어떤 분자는 제대로 광화학반응할 수 있지만, 그렇지 못 한 분자는 순간 이온화되어 튕겨나가거나 옆 패턴에 영향을 미친다. 특히 튕겨 나간 이온들은 상부 마스크에 증착될 수 있는데, 이는 패터닝 과정에서 에러를 일으킬뿐더러, 마스크 수명을 갉아먹는 주범이 된다. 펠리클은 감광재에서 비롯된 이온, 그리고 그 외 여러 경로에서 발생한 오염물질로부터 마스크를 보호하는 아주 얇고 투명한 (EUV 대역의 파장에 대해) 막인데, 아직 EUV 전용으로 실용 배치된 펠리클은 없다.

또 하나 무시할 수 없는 문제점은 바로 EUV 노광 공정이 DUV에 비해 생산성 면에서도 취약하다는 것이다. 더 많은 전력을 소모하지만 더 낮은 효율로 광원이 유도되는 데다가, 에러에 더 취약하므로 이는 쉽게 피할 수 없는 약점이 된다. DUV 노광기 한 대가 한 시간에 290-300장 정도의 웨이퍼를 처리할 수 있는데 반해, EUV 노광기는 최대 140장 정도로서, DUV의 반도 안 되는 생산성을 보인다. 특히 EUV의 광원 특성상, 장비의 다운타임을 고려하면, 일주일에 1,000-1,200장 내외의 웨이퍼 생산이 그나마 지속 가능하고 안정된 생산 수준으로 보이는데, 이는 다운타임이 거의 없는 DUV에 비하면 거의 1/3 수준까지 생산성이 떨어질 수 있음을 의미한다. 저하된 생산성은 단위 웨이퍼 당 가격이 상승할 수밖에 없음을 의미하며, 이는 기존 무어의 법칙 (Moore’s law)에 따라 더 다량으로 더 낮은 가격에 칩을 공급하는 것에는 한계가 있을 수밖에 없음을 의미한다. 파운드리 산업의 전략이 다품종 소량생산으로 전환된다면 충분히 가격 방어가 될 것이지만, DRAM처럼 소품종 대량 생산 위주의 전략을 지키겠다면 이런 관점에서라도 EUV는 해답이 아닐 수 있다.

EUV의 잠재적 문제 중 또 하나 언급해야 하는 문제는 EUV도 결국 패터닝 한계로부터 자유롭지 못하다는 것이다. 비록 단일 패터닝 기준으로, EUV는 DUV에 비해 월등한 성능을 자랑하지만, 어쨌든 13.7 nm라는 파장은 결국 벽이 된다. 왜냐하면 EUV가 비록 DUV에 비해 훨씬 짧은 파장을 사용하나, 그렇다고 해서 아베의 한계 (Abbe’s limit)를 피할 방법이 있다는 것은 아니기 때문이다. 예를 들어, 이론적으로는 0.33의 NA를 가정할 경우, 0.5*13.7/0.33~20.8 nm가 물리적 한계가 되지만, 공정 여건 상, EUV 단일 패터닝의 실제 한계는 30 nm 정도에 머물게 된다. 이는 노드로 따지면 5 nm급 노드에 해당하며, 따라서 5 nm 이하, 그러니까 3 nm급, 2 nm급 이하의 노드로 갈 경우, 단일 패터닝만으로는 한계에 부딪힐 수밖에 없다는 것을 의미한다. 따라서 EUV 공정이 5 nm 노드 이하급에서 대세가 되기 시작하면, 물리적 feature의 축소는 반드시 해결되어야 하며, 따라서 결국 5 nm 이하의 초미세 공정에서의 EUV 공정 경쟁력을 갖추기 위해서는 DUV에서 그랬던 것처럼 결국 다중 패터닝 공정을 도입하는 것을 피할 수 없게 된다. 그러나 그렇게 된다면 그렇지 않아도 엄청난 덩치와 전력 소모량을 자랑하는 현재의 EUV 노광 장비는 더 커지고 더 많은 전력을 소모하게 된다. 또한 이미 단일 패터닝에서 취약점으로 지적되고 있는 stochastic error의 영향이 더 커지게 되는데, 이는 그만큼 수율이 더 낮아지고, 생산성도 더 낮아지게 됨을 뜻한다. 다행히 글로벌 칩 메이커들은 DUV 시절부터 극한의 수준까지 다중 패터닝 공정 기술을 실현할 수 있는 다양한 방법에 익숙해진 상태고, EUV 다중 패터닝에 대해서도 과거의 교훈과 노하우를 어느 정도는 활용할 수 있을 것으로 예상된다. 그럼에도 불구하고 다중 패터닝은 생산 속도에서 한계를 가질 수밖에 없으므로, 칩 메이커들은 ASML이 2025년 이후 출시할 NA 0.55 짜리 EUV 노광기인, 일명 high-NA EUV라 불리는, EXE:5000 시리즈 노광기를 바라볼 수밖에 없다. 수차가 높아지면 물리적 패터닝 한계가 축소될 수 있으므로, 같은 다중 패터닝 공정을 통한다고 해도 공정 단계를 더 짧게 만들 수 있고, 따라서 생산 속도를 더 높일 수 있을 것으로 기대하기 때문이다.

그렇지만 수차를 높이는 기술은 그 구현 난이도와 더불어, 그에 걸맞은 요소 기술의 부재가 관건이 된다. 현재의 ASML NXE 3300-3400 시리즈인 NA 0.33 기반 EUV 노광기의 광학 기술도 이미 극한에 다다른 상태이지만, NXE 3500 시리즈 혹은 그 이후 EXE 시리즈인 NA 0.55 기반 EUV 노광기의 광학 기술은 한 층 더 공학적인 구현 난이도가 높아진다. NA를 높이기 위해 집광 면적을 더 줄이면서도 투과도를 높인 광학계를 개발할 필요가 있고, 그러기 위해서는 광학계에 배치된 반사경 면적을 키워야 한다. 이를 위해 집광 면적의 종횡비를 조절할 수 있는 아나모픽 렌즈 (anamorphic lens)를 이용할 수 있다. 마스크에 입사되는 빛과 반사되어 나오는 빛 사이의 오버랩을 최소화하기 위해 빔의 모양을 종횡비가 1이 아닌 타원형으로 바꾸는 것이다. 마치 좁은 틈을 통과하기 위해 둥근 공을 눌러서 압축하는 것과 비슷한 원리다. 다만 이렇게 빔의 기하학적 형태를 찌그러트릴 경우, 집광 영역의 가로세로 비가 일정하게 유지가 안 되므로, 한 번에 균일하게 패터닝하기는 불가능해진다. 그래서 반반씩 두 번에 걸쳐 노광한 후, 나눠서 꿰매듯이 이어 붙이는 추가 공정이 필요하고, 이는 생산 속도가 그만큼 저하됨을 의미한다. 마스크 배치 속도 등을 향상해 패터닝 공정의 속도를 높인다고 해도, 세대가 거듭될수록 EUV 기반 공정의 생산성은 이런 이유로 아무래도 조금씩 떨어질 수밖에 없다. High-NA EUV 노광기는 또한 가격적인 측면에서도 꽤나 도전적인 상황에 직면할 것이다. 현재 NXE 시리즈 노광기가 1,500-1,600억 원 내외의 가격이 형성된 것에 비해, EXE 노광기의 경우, 그 두 배가 넘는 3,200억 원 내외에서 가격이 형성될 것으로 전망되고 있다. 이 정도의 무지막지한 가격표가 붙어도 여전히 EUV 노광기는 한 해에 총 40대 미만으로 밖에는 생산될 수 없는 한정재가 될 것이며, 따라서 당연히 경쟁적으로 칩 메이커들 사이에서는 한 대라도 더 확보하기 위한 입도선매 전쟁이 벌어지겠지만, 높아진 장비 단가는 그대로 칩 메이커의 공정 원가에 반영될 수밖에 없는 구조가 된다.

High-NA EUV 노광 공정에서 또한 중요한 문제로 대두되는 요소 기술은 소재 기술의 핵심 중 하나이기도 한 감광재 (photoresist) 확보다. 광학 노광 공정이 채택된 이후, 전통적으로 감광재는 광화학 반응을 일으킬 수 있는 고분자 같은 유기물을 사용했다. 0.33 NA 기반의 현세대 EUV에 대해서도 여전히 감광재는 화학증폭 레지스트 (chemically amplified resist (CAR)) 같은 유기물 기반이 주종을 이룬다. 그렇지만 그 이후의 EUV 노광 공정에서 유기물 기반의 감광재는 한계가 있다. 무엇보다 유기물 기반의 소재이므로, 노광 공정 중 정해진 위치에서 반응해야 할 광자가 더 멀리 진행하여 엉뚱한 장소에서 2차 전자를 만들어내는 stochastic error가 더 빈번하게 발생할 수 있다. DUV 공정에서는 그나마 패터닝된 물리적 선폭이 그리 작지 않았고, 광원의 에너지도 약한 편이었으므로 이러한 영향이 거의 없었지만, EUV에서는 집광 면적도 좁아지고 조도도 높아진 상황에서, 광자 한 개의 에너지가 기존에 비해 14배 이상으로 증폭되었으니, 유기물 기반의 감광재에서 stochastic error가 더 위협적인 에러 요인이 되는 것을 막을 방도가 거의 없다. 특히 수차가 0.55 이상으로 더 높아질 차세대 EUV 공정에서는 유기물 기반 감광재는 사실상 선폭 형성 과정에서 거의 랜덤에 가까운 에러를 유발할 것으로 예상된다. 추가적으로 유기물 기반의 감광재는 노광 공정 이후 필요 없는 부분을 없애는 에칭 (etching) 과정에도 점점 취약해진다. 애써 만든 패턴의 구조 붕괴 확률은 패턴이 작아지면 작아질수록 더 높아지기 때문이다. 이를 피하기 위해 스핀온 금속 산화물 (spin-on metal oxide)이나 Zn, Sn, Pd 같은 금속을 포함하는 금속 입자 (metal nanoparticle)-유기물 리간드 (organic ligand)로 이루어진 하이브리드 소재 같은 무기물 기반의 감광재가 제시되고 있다. 이 중에서도 가장 물리적으로 작은 패턴에 대응할 수 있는 감광재로서 Inpria Y-series나 Zn-mTA cluster 같은 소재가 제시되고 있으나, 이들의 물리적 패터닝 한계는 13-15 nm 정도로 평가된다. 이 한계를 더 낮추기 위해서는 금속 나노입자의 크기를 더 줄이는 동시에 그것을 둘러싸고 있는 유기물 리간드 분자 구조도 개질 해야 한다. 이는 리간드 유기물의 분자량의 조절과 더불어 분자 구조 자체의 물리화학적 특성을 바꿀 수 있는 기능기를 도입해야 함을 의미한다. 문제는 금속 나노입자든, 유기물 리간드든, 더 작게 만들면 만들수록, 그것들의 품질 일관성 (uniformity)이 떨어진다는 것에 있다. 이렇게 되면 EUV 광원을 만나 광화학반응을 하는 과정 중에 형성되는 패턴의 정밀도가 떨어진다. 예를 들어 금속 나노입자의 직경이 2 nm 정도 수준이라면 (이 정도 수준이라면 나노입자라기보다는 양자점이라고 부르는 것이 더 타당할 수도 있다), 사이즈 에러가 20%만 되어도 1.6~2.4 nm의 크기 분포를 가지게 되는데, 이는 고스란히 이들이 연결되는 정도에서 발생하는 에러에 반영되므로, 최종적으로 형성되는 패턴의 정밀도도 그만큼 떨어지게 된다. 결국 소재 차원에서 이들에 대한 근본적인 개선이 있지 않으면 지금의 감광재는 EUV 공정의 광원과 광학 기술이 아무리 발전한다고 해도, 그것을 따라잡기 어렵다.

감광재 소재 자체만 문제가 되는 것이 아니라 이들의 도포 공정 (coating process) 역시 점점 난이도가 올라간다. 예전에는 주로 습식 공정인 스핀코팅 (spin-coating)을 이용했지만, 스핀 코팅 공정의 특징 중 하나는 코팅 과정에서 물질의 낭비가 심하다는 것이다. 2~3,000 rpm의 고속으로 회전하는 원판 위에 올려진 감광 용액은 회전하는 짧은 몇십 초의 시간 동안 대부분 원심력에 의해 사방으로 흩어진다. 아주 일부만 웨이퍼 표면에 끈적한 상태로 코팅될 뿐이다. 재료의 낭비를 줄여보기 위해 건식 코팅 공정이 제안되기도 했으나, 습식 공정만큼의 대면적 두께 균일도, 그리고 생산 속도가 나오지 않는다. 건식 공정으로 가긴 가되 재료의 균일도와 코팅 품질을 향상할 수 있는 공정 기술이 같이 개발되어야 한다.

EUV가 극한 상황으로 갈수록 또 하나의 난관은 마스크 제작 기술이 된다. EUV 광원은 결국 이 마스크를 통해 감광재가 도포된 웨이퍼로 유도되는데, 물리적 feature를 작게 가져가는 패턴일수록, 더 정밀한 마스크가 필요하다. 마스크를 만들기 위해서는 EUV 광원을 잘 반사할 수 있는 재질의 소재가 필요하나, 단일 재질의 소재 중, 반사도 50%를 넘기는 소재를 찾는 것은 거의 불가능하다. 이를 위해 transfer matrix method (TMM) 방법에 의거, 반복된 파동 간섭 및 굴절 효과에 의해 반사도를 최대로 만들 수 있는 인공 거울 기술, 즉, distributed Bragg reflector (DBR) 기술이 필요한데, 현재까지 알려진 최적의 소재 조합흔 실리콘과 몰리브덴을 라자냐처럼 번갈아가며 40-50층씩 쌓는 것이다. 최적의 반사도를 만들기 위해 각 층은 5 nm 내외의 두께를 갖도록 설계되고, 무엇보다 각 층 사이의 계면에서 광원의 손실이 일어나지 않도록 거칠기가 거의 천체망원경 반사경의 정밀도보다 높은 수준인 80-90 피코미터 (1 피코미터 (pm) = 1/1000 나노미터 (nm)) 이내로 유지되어야 한다. 이렇게 만들어도 Mo/Si 반사층은 13.7 nm 광원에 대해 이론적 최대 반사도인 73%를 실현시키기 어려우며, 실제로는 최대 68% 내외의 반사도를 보이고 있다. 따라서 반사도를 더 높이면서도 미세한 위상차에 의한 패터닝 오류 가능성을 줄이기 위해 새로운 소재 기술, 공정 기술이 필요하며, 이는 high k, low n, phase-tolerance materials 등에 대한 원천 기술 개발을 요구하는 것이기도 하다. Mo/Si 층만 잘 쌓는 것으로는 충분치 않다. 이들을 위아래에서 캡핑 할 수 있는 소재 기술 역시 중요하다. 상부에는 루테늄 (Ru) 박막으로, 하부에는 저열팽창 소재 (low-thermal expansion materials, LTEM)이 필요하다. 상부의 Ru 박막은 마스크 아래에 있는 감광재로부터 발생할 수 있는 오염물질로부터 다층 박막을 보호하며, 하부의 저열팽창 소재는 반사되지 못하고 흡수된 고에너지 EUV 광자로 인해 발생한 열에너지로 유도되는 마스크 전체의 열팽창 정도를 제어하는 역할을 한다. 저열팽창 소재가 마스크를 제대로 서포팅하지 못한다면 이는 그대로 마스크의 수명과 직결되기 때문에, 열팽창으로 인한 응력 해소가 가능하고, 큰 온도차에도 물질 강성을 유지할 수 있는 소재에 대한 연구도 매우 중요하다.

이렇듯, 앞으로의 EUV 기반 차세대 반도체 공정이 진행되면 진행될수록, 공정 상의 난관은 점점 거세질 것이다. 대세는 EUV 초미세 패터닝이 될 것임에는 변함이 없지만, 패터닝 기술을 뒷받침할 수 있는 요소 기술은 충분히 발전된 상황이 아니다. 한국이 팹리스뿐만 아니라 파운드리 영역에서도 글로벌 경쟁력을 유지하기 위해선, 단순히 물리적 패터닝 기술의 구현에만 신경 쓸 것이 아니라, 그야말로 소재와 공정 기술에도 집중할 필요가 그래서 더더욱 있다. EUV 광원의 반사도를 높이기 위해 어떤 소재를 새로 개발할 것인가? 몇 층이나 쌓을 것인가? 계면의 정확도는 어떻게 개선할 것인가? 어떤 진공장비를 쓸 것인가? 마스크를 보호하기 위해 더 높은 투과도, 더 높은 기계적 강도를 갖는 펠리클을 어떻게 만들 것인가? 저열팽창 소재를 어떻게 설계할 것인가? 2차 전자의 확산을 막기 위해 어떤 종류의 무기-유기 하이브리드 소재를 쓸 것인가? 거기에 요구되는 유기물 리간드의 구조는 무엇인가? 나노입자의 형태는 어때야 하는가? 다중 패터닝 속도를 높이기 위해 마스크 얼라이너 정밀도를 어떻게 제어할 것인가? 결함 검출을 위한 소프트웨어를 어떻게 설계할 것인가? 그 과정에서 어떤 기계학습 알고리듬을 쓸 것인가? 기존의 광학/전기적 특성 검출 외에 다른 방법을 쓸 수 없는 것인가? 감광재 코팅의 건식 코팅 공정 속도를 높이는 방법은 무엇인가? 등 정말 셀 수 없이 많은 요소 기술이 필요하다. 이는 비단 EUV 공정뿐만 아니라, 앞으로 동반적으로 발전할 반도체 공정 기술 전 영역에서 반드시 확보해야 하는 요소 기술이기도 하다.

한국은 이미 글로벌 반도체 서플라이 체인의 중요한 한 축으로 자리 잡은 지 오래이며, 메모리 반도체 분야는 물론, 이제는 파운드리에서도 TSMC에 이어 2, 4위권 업체를 보유하면서 생태계의 한 자리를 차지하고 있다. 단순히 공정 수율을 높이는 것, 원가를 낮추는 것 이상으로, 조금 더 멀리 바라보고 소재와 공정 요소 기술에 대한 투자가 있어야만 이 자리를 지키는 것이 겨우 가능하다. 비록 중국이 앞으로도 꽤 장기적으로 미국의 반도체 기술 제재에 직면할 것이지만, 중국이 무서운 부분은 이러한 요소 기술에 대한 기초 원천 과학기술 연구개발 투자를 국가 차원에서 더 전투적으로 늘리고 있다는 것이다. 언젠가 차세대 노광 공정에 대한 중국의 기술적 접근이 가능해지게 되면, 혹은 그것을 우회할 방법이 생겨나면, 요소 기술을 더 많이 쌓아 놓은 쪽이 훨씬 더 빨리, 그리고 더 깊고 넓게 산업의 패권을 쥘 수 있다. 이제는 몰락한 제국이라고 무시당하는 일본의 반도체 산업 역시, 여전히 이러한 소재와 공정, 그리고 공정 장비에 대한 요소 기술력은 글로벌 탑급이다. 비록 ASML만큼은 아니지만 여전히 노광기 공급 업체 2, 3위는 일본의 캐논과 니콘이며, 반도체 소재의 도핑 공정에 필수적인 ion implantation 장비, 그리고 증착 공정에서 매우 핵심적인 공정인 화학/물리기상증착 장비 (CVD/PVD)는 Tokyo electron, 웨이퍼 표면 평탄화 공정의 핵심인 chemical mechanical planarization (CMP)는 Ebara, 웨이퍼 클리닝 장비는 Screen semiconductor solutions와 Tokyo electron, 패터닝 품질 검사 장비는 Advantest, 소재 분석 및 검사 장비는 Hitachi, CMP용 슬러리 재료는 Nagase & Co., 증착 장비용 타깃 생산은 JX Nippon Mining & Metals, Kyodo Internation, 웨이퍼 생산은 Shin-Etsu Chemical, Sumco, 감광재는 Sumitomo chemical 같은 회사들이 그야말로 알박기 하듯, 요소요소에 여전히 글로벌 강자로서 포진하고 있다. 비록 일본 반도체 대기업들이 몰락하면서 글로벌 종합 매출 순위 20위권 내에 일본 기업은 점차 자취를 감추고 있지만, 보이지 않는 리스트에는 이렇게 여전히 일본의 강자들이 글로벌 경쟁력을 잃지 않고 있음을 명심해야 한다.

결국 한국의 반도체 산업 생태계가 더욱 강고해지려면 일본의 중견기업들이 잡고 있는 소재와 부품, 그리고 공정 장비를 차세대 공정을 타깃으로 따라잡을 수 있는 스타트업을 집중 육성하는 것이 필요하고, 그 기저에는 기업에서 쉽게 할 수 없는 소재와 공정 원천 기술에 대한 연구개발 투자가 더 증강되어야 한다. 중국을 반도체 소재 및 공정 관련 연구개발 투자 규모로 따라잡기는 어렵지만, 위에 언급한 핵심 어젠다에 대해서는 선택과 집중 전략을 취함으로써 충분히 경쟁력을 확보할 수 있다. ASML이 10년 넘는 암흑기를 버텨내며 결국 EUV 노광 공정의 실현에 성공했던 것처럼, 기업에서는 죽음의 계곡 (death valley)라고 부르는 장기간의 실패 누적 기간을 학계와 연구계에서 지지할 수 있는 투자가 필요하다. 이는 단순히 산학연 대형 장기 프로젝트의 필요성에만 역점을 두는 것이 아니라, 의외의 소재와 공정이 개발될 수 있는 계기가 될 수 있는 풀뿌리 프로젝트의 융성도 포함하는 것이다. 기존의 개념이 한계에 부딪혔다면 그것을 우회하거나 전혀 다른 방식으로 접근할 수 있는 연구들이 필요하며, 이는 대형 장기 연구보다는 중소형 장기 연구, 자유주제 공모 연구 등을 통해 어느 정도 커버될 수 있다. 이러한 연구 성과물들이 조금 더 스타트업 비즈니스 모델과 연결되게 하는 방안을 모색해야 하며, 특히 연구개발과정에서 창출되는 IP를 집중 관리하여 해외 기업들과 합작 프로젝트를 개발하는 방안도 같이 모색해야 한다.

반도체 산업의 기술 경쟁은 앞으로도 더욱 격심해질 것이고, 특히 차세대 패터닝 공정에서의 패권은 인공지능과 데이터 위주로 산업이 재편되는 글로벌 산업 지형 전체에 영향을 미치는 요소가 될 것이다. 제조업 기반을 살리면서도, 첨단 산업으로의 전이, 그리고 생태계 보전을 위해 한국, 특히 차세대 리더십들이 지금 신경 써야 할 부분은 이러한 기술적 요소에 대한 perspective이며 이를 확보하기 위해 더 많은 공부가 필요하다. 연구개발 현장에서의 최우선 과제를 바로 볼 수 있는 혜안이 필요하고, 산업계와 학계는 그것이 국가 장기 계획, 그리고 산업 정책에 제대로 반영될 수 있도록 지속적으로 관심을 가지면서 모니터링하고 참여해야 한다. 시대가 변하더라도 핵심 기술의 중요성은 변하지 않으며, 업계의 변동이 심해지더라도, 연구개발 투자는 헛된 노력이 되지 않는다. 그것은 반도체 산업이라고 해서 예외가 아님을 잊지 말아야 한다.

통합규정 1.3 이용안내 인용

"Pgr은 '명문화된 삭제규정'이 반드시 필요하지 않은 분을 환영합니다.
법 없이도 사는 사람, 남에게 상처를 주지 않으면서 같이 이야기 나눌 수 있는 분이면 좋겠습니다."
덴드로븀
21/08/23 17:26
수정 아이콘
일단 추천박고 정독하겠습니다 교수님.
21/08/23 18:36
수정 아이콘
감사합니다!
StayAway
21/08/23 17:39
수정 아이콘
파크시스템즈가 그래서 잘나가는구나..
21/08/23 18:38
수정 아이콘
투자자신가요?덜덜
21/08/23 17:39
수정 아이콘
음 다 이해했습니다. 검은건 글자고 하얀건 바탕이네요.
21/08/23 18:38
수정 아이콘
그렇습니다. 계속 돌파구는 나오겠지만, 정말 한계라고 부를 수 있는 상황에 봉착하면 아예 다른 개념의 아키텍쳐로 바뀌지 않을까 조심스레 전망해 봅니다.
아비니시오
21/08/23 20:12
수정 아이콘
이 댓글 너무 재밌어요 크크
21/08/23 20:58
수정 아이콘
복붙을 잘못 했으요..흑흑
21/08/23 17:40
수정 아이콘
일단 추천부터 누르고 읽습니다.
21/08/23 18:39
수정 아이콘
감사합니다!
21/08/23 17:47
수정 아이콘
5000시리즈 도입할때까지 코코넛이 남아있을것인가...
21/08/23 18:38
수정 아이콘
덜덜덜
좋은아빠
21/08/24 23:16
수정 아이콘
사우분이신가보네요
21/08/23 17:49
수정 아이콘
정말로 수박 겉핥기 뿐이지만 흥미롭게 읽었습니다. 항상 좋은 글 감사합니다.
21/08/23 18:37
수정 아이콘
별 영양가도 없는 졸문 잘 읽어 주셔서 감사합니다!
-안군-
21/08/23 17:51
수정 아이콘
대충 이해하기로는 나노공정이 정밀해질수록 이제 전자단위의 정밀도를 요구하게 되고, 물리적으로 극복하기 힘든 한계지점에 가까와지고 있다는걸로 보이네요.
글에서 언급된 5나노 공정까지는 어떻게든 된다 치더라도 그 이상의 정밀도를 가지는 반도체가 나오기 위해서는 소재의 혁신이 필요한 시점이 가까와지고 있다는 생각도 드네요. 탄소튜브 반도체라던지 양자컴퓨터라던지 등등 말이죠.
21/08/23 18:38
수정 아이콘
그렇습니다. 계속 돌파구는 나오겠지만, 정말 한계라고 부를 수 있는 상황에 봉착하면 아예 다른 개념의 아키텍쳐로 바뀌지 않을까 조심스레 전망해 봅니다.
키비쳐
21/08/23 17:53
수정 아이콘
(대충 '아~ 완벽히 이해했어!' 짤방)
21/08/23 18:37
수정 아이콘
감사합니다!
21/08/23 18:13
수정 아이콘
잘 몰라도 열심히 읽어봤습니다. (현기증 나네요)
잘 몰라도 좋은 글 너무 감사합니다.
21/08/23 18:37
수정 아이콘
별 영양가도 없는 졸문 잘 읽어 주셔서 감사합니다!
21/08/23 18:18
수정 아이콘
EUV공정만 봐도 마술이 따로 없는데 10~20년후 beyond EUV공정 개념과 원리는 어디서 어떻게 제시될지 참 흥미롭습니다.
21/08/23 18:37
수정 아이콘
그러게 말입니다. 어디선가 또 돌파구가 나오다가, 아예 전혀 다른 개념의 아키텍쳐로 가지 않을까 합니다.
전자수도승
21/08/23 18:25
수정 아이콘
"하이데거가 독일어로 쓴 [존재와 시간]의 독일어 번역본이 70년만에 나왔습니다."
누가 한국말로 번역 좀.....
21/08/23 18:36
수정 아이콘
더 쉽게 풀어 쓰지 못 한 것은 제 실력 탓입니다. 흑흑
BlazePsyki
21/08/23 19:23
수정 아이콘
[SYSTEM: 이해에 필요한 기본 지식장벽이 너무 높은 글입니다.]
이쪽 분야에 대해서 어렴풋하게는 알고 있었는데 이렇게 디테일하고 약간 뭐랄까... 전공자와 비전공자의 경계에 걸쳐 있는 사람한테는 잘 읽힐만한 글 같네요. (그게 바로 접니다;;;) 좋은 글 감사합니다 교수님.
21/08/23 20:59
수정 아이콘
더 쉽게 쓰고 싶었는데, 제 내공의 한계가 여기까지인가 봅니다.흑흑
21/08/23 19:39
수정 아이콘
좋은 글 정말 감사드립니다.
21/08/23 20:59
수정 아이콘
졸문 읽어주셔서 감사합니다!
21/08/23 20:35
수정 아이콘
진짜 이런글 좋아합니다.. 그런데 지금은 ..피곤해서 글자가 안보이네요.
찬찬히 읽어보겠습니다.
21/08/23 21:00
수정 아이콘
술 한잔 드시고 읽으시면 잠이 잘 올 것입니다.크크
새벽바람
21/08/23 20:38
수정 아이콘
와우.. 이번에도 내공이 깊은 글 잘 읽었습니다. 전 반도체 분야는 아니지만 재료전공이고 특히 펠리클쪽은 과제 기획에도 참여해본터라 더 재미있게 읽었습니다.
저나 제 주변에도 연구자들이야 즐비하지만 다들 교과서 내용이나 학계 최신 트렌드는 알아도, 산업에서 실제로 쓰이는 기술이나 어떤 장비는 어떤 회사하고 딱딱 나올 정도로 내공있게 아시는 분들은 거의 없는거 같은데.. 혹시 비결이 무엇인지 여쭤봐도 될까요?
21/08/23 20:59
수정 아이콘
에구 아닙니다. 저도 이거저거 주워들어 아는 정도 입니다. 그냥 업계 뉴스를 계속 팔로업하면서 업계 사람들 좀 만나서 뒷 이야기 얻어 듣는 정도입니다.
도롱롱롱롱롱이
21/08/23 20:49
수정 아이콘
교수님.. 토할 것 같아요.
21/08/23 20:58
수정 아이콘
재수강하시기 바랍니다.덜덜
21/08/23 21:07
수정 아이콘
교수님이 오픈북 테스트를 하신다..
공부맨
21/08/23 21:43
수정 아이콘
잘읽었습니다. 두글자만 더 알려주십시요
샘숭 매수? 중립? 매도?
21/08/23 21:44
수정 아이콘
샘숭은 무조건 장기로 들고 가십시오. 고갱님
Albert Camus
21/08/23 23:39
수정 아이콘
좋은글 잘 읽었습니다. 가슴 속에 뭔가가 끓어오르면서 저런 요소기술 개발해보고 싶다..라는 생각이 얼핏 드네요 크크
21/08/24 16:35
수정 아이콘
가슴에 무엇인가 끓어 오르는 것이 있다면 제 랩으로 오셔서 대학원...아 아닙니다. 그나저나 컨택을 원하시면 031-*** 아 아닙니다.크크
21/08/24 01:15
수정 아이콘
호기심 많은 나이대랍니다.
이해가 대부분 안가고요 글자는 읽을 줄 알아요.
이번에 테슬라 발표는 어떻게 보시나요?
우주에서 인공위성으로 조정하는 자율주행까지는 호기심과 상상으로 따라잡지만 테슬라가 로봇이라니 뻥이 심하다 싶기도 하고 저사람이 스티브 잡스가 될려나 싶기도 하고 감상이 어떠신가요?

자율주행 로봇이라도 반도체는 필수 맞지요?
21/08/24 16:34
수정 아이콘
잘 읽어 주셔서 감사합니다.

테슬라 발표에 대해서는 이미 많은 분들이 좋은 분석을 하고 계시니 첨언할 필요는 없지만, 대략적인 것만 말씀드리면, 데이터, 알고리듬, 소프트웨어, 그리고 이제는 하드웨어까지 그야말로 풀스택으로 개발과 양산, 그리고 시장을 독점할 수 있는 진정한 AI기반 IT공룡이 출현했다는 신호탄으로 봅니다. 테슬라봇은 실제로 개발될 수도 있고 그저 상징적인 아이콘으로 치부할 수 있겠지만, 그만큼 테슬라의 자신감이 커졌다는 것을 방증하는 것이기도 하죠.

비단 자율주행 로봇 뿐만 아니라, 산업의 모든 분야에서는 반도체는 필수재가 된지 오래입니다. 어떤 종류로 어떤 위치에서 어떻게 쓰이느냐가 중요하지, 필요하냐 안 하냐는 이제는 거의 의미가 없는 상황이죠. 다만 자율주행차나 로봇 모두 결국 인공지능에 특화된 칩들이 중요하게 될텐데, 그것을 누가 어떻게 설계하는가, 그리고 그 스펙을 누가 맞춰주면서 생산할 수 있는가는 매우 민감한 문제가 됩니다. 설계는 팹리스 업체들이 난립하면서 경쟁이 극심해지겠지만, 정작 생산은 글로벌 2-3 개 업체 정도만이 겨우 스펙을 맞춰줄 수 있을 것이라 봅니다.
21/08/24 20:19
수정 아이콘
생뚱맞는 어리석은 질문에 친절한 답변 감사드려요.
태슬라 주식 조금이라도 사보고싶어져요
libertas
21/08/24 04:26
수정 아이콘
저 리스트에 있는 일본 기업 중 하나에 다니는데 괜히 반갑네오. 잘 읽었습니다!
21/08/24 16:31
수정 아이콘
고생 많으십니다!
21/08/24 14:53
수정 아이콘
되게 흥미로운게, 분명히 관련 용어를 모르고 자세한 개념을 알지 못하니까 막히기 마련인데 글이 잘 읽혀요. 수능 비문학 지문 읽는 느낌으로 술술 읽힙니다. 자세한 패터닝이 뭐고 펠리클리텔레스는 알지 못하지만 그에 대해서 설명이 이러지 못하면 어려움이 있다 이런 결론을 친절하게 설명해주고 있어서 읽기 편했고요. 서론과 소주제로 euv가 기존 방식에 대해 가지는 강점, 세분화된 euv공정의 어려움 연구개발의 중요성 이런식으로 글이 단계적으로 잘 짜여져 있어서 아 이 단락은 이부분에 대한 설명이구나 하고 그 내용에 집중해서 읽을 수 있었어요. 좋은 글을 쓸 줄 아시네요 부럽습니다
저는 개인적으로 궁금했던 것은 글에서 나온 해결해야 할 것들을 삼성과 tsmc가 어떻게 대처하고 있는가 어떤 공정을 만들어가고 있는가였는데 그부분도 나중에 써주시면 감사하겠습니다. 글에는 이런 것이 필요하다라는 대안의 촉구 정도는 있지만 현재 삼전 tsmc는 어떻게 하고 있다라는 내용이 없는듯 합니다 (제대로 세밀하게 안읽어서 모르는 걸수도 있겠다 싶습니다)그래야 삼전 장기보유를 크크
21/08/24 16:30
수정 아이콘
별로 잘 쓰지도 못한 글을 잘 읽어 주셔서 감사합니다.

두번째 질문에 대해 사실 굉장히 주변에서 많이 물어 보십니다. 워낙 삼전에 투자하신 분들이 많아서 그런지 다소 민감한 주제이기도 하고요. 사실 삼전이 계속 기술 경쟁에서 살아 남을 것인지는 이들의 선행 공정 기술이 어디까지 왔는지를 살펴 보면 됩니다. 삼전에 대해서는 따로 글을 하나 파야 하는데, 그러기 위해서는 삼전이 공개하지 않은 정보도 같이 다뤄야 해서 정보 분석에는 한계가 있음을 미리 양해 부탁드리고요.

대략적인 것만 말씀드리면 이렇습니다. 본문에 언급된 문제들은 T, S, I 모두 겪고 있는 문제들입니다. 삼성의 평택 라인에 EUV 기반 5 nm 이하, 나아가 3 nm 노드급 공정이 양산 전환 중인데, 여전히 잡히지 않는 에러 천지입니다. 재현도 안 되고, 수율도 너무 떨어지고요. 그리고 유전체 재료로 쓸만한 재료들의 품질도 들쭉날쭉이죠. 결국 잡히기야 하겠지만, 그야말로 시행착오가 매일, 매시간, 매분 일어나고 있다고 볼 수 있습니다. 똑같은 시행착오를 T사도 겪고 있는데, T사는 워낙 대기하고 있는 고객들이 많은데다가, 더 많은 인력과 더 많은 자본을 투입할 수 있으니, 더 많은 데이터를 확보할 수 있겠죠. 물론 그런 데이터들은 절대 외부로 공개되지 않습니다. 양산이 시작된 후, 일부 데이터만 알음알음 서로 추정할 뿐이죠.

양사의 대처 방법도 비슷한듯 많이 다릅니다. T는 3 nm까지는 FinFET 개량 아키텍쳐로 갈 것이지만, S는 3GAAFET으로 가는 것부터 차이고, MBCFET을 그 이후에 도입할지 여부는 미지수지만 T가 MBCFET으로 갈 수 없는 것은 거의 확실하죠.

다소 일반론적인, 그러나 꼭 필요한 대안제시, 방법을 제시한 까닭은, 그야말로 지금은 정석적인 장기 연구개발투자가 반드시 이어져야 함을 강조하고 싶었기 때문입니다. 모두가 그것이 필요함을 알고 있지만, 역설적으로 현재의 학계, 적어도 한국 학계는 그것을 이제는 따라가지 못 하고 있습니다. 모든 면에서 회사가 압도적인 기술을 가지고 있다 보니, 결국 학교나 연구소에서 할 수 있는 일들은 지극히 제한적이죠. 그런데 바로 그 제한적인 영역에서 학교와 연구계가 할 수 있는 일들이 꽤 많이 있습니다. 저는 그런 부분에 대해 어떤 연구가 가능하고 필요한지를 본문에서 언급했고, 이는 정부가 반드시 장기적으로 투자할 부분이기도 합니다.
21/08/24 18:56
수정 아이콘
답변 감사합니다. 정부에서 도와줘야 할 부분이 있지만 또 필요이상으로 개입은 하면 일본처럼 되겠군요
조메론
21/08/24 18:55
수정 아이콘
좋은 글 감사드려요!

관련분야 회사에서 기술통번역 하고있는데도 어려운 내용이네요..
주소 저장해 두었다가 찬찬히 오래오래 읽어보겠습니다!!
아스라이
21/08/24 20:59
수정 아이콘
(수정됨) 정말 훌륭한 글 공짜로 잘 봤습니다. 개인적으로 2가지 소득을 얻고 갑니다 .

1. ' ASML이 반도체 찍는(?) 기계 만든다며 . 삼성은 그거 굴리기만 하고 . 근데 삼성이 뭐가 그렇게 대단하단 거지? ' 에 대한 답
: 이런 우문에 대해 관련자분들께서 ' 수율 ' 을 아무리 강조하셔도 , 관련 지식이 전무하여 ' 그래서 그게 뭐? ' 란 의문만 남곤했었는데 , 이런
속사정이 있었던 거였군요. 그나마 저같은 일반인이 좀 알겠다는 착각만이라도 가지려면 이 정도의 상냥한 장문이 필요하니 관련자분들께서도
백날천날 ' 수율무새 ' 라는 오명을 감수하셨을 밖에...;;

2. 대한민국 반도체 생태계에 대한 재고
: 굉장히 부끄러운 얘깁니다만 , 예전에
' 인구 오천만정도 되는 나라에서 제일 똑똑한 수재들 싹쓸어 간다음 음으로 양으로 국가적인 푸시받았으면 이 정도 성과는 일면 당연한
귀결 아닌가? '
라는 생각을 갖고 있었는데 , 테크니컬한 설명을 편린이나마 접하고 나니 진짜 제 생각이 못난 생각이었음을 재차 인식하게 됐습니다 .

후발주자로써 한정된 자원과 (산업 특성상) 한정된 시간을 최대한 쥐어짜내어 오늘날의 입지를 다지기까지 얼마나 많은 엔지니어분들께서
얼마나 졸이는 마음으로 피땀흘리셨을지 가늠조차 안되네요 .

현대공학의 최첨단을 달리는 산업계의 선두주자인 두 기업이 동북아에서 제일 작은 섬나라와 그다음으로 작은 반도국가라...
그 의미심장함에 절로 고개가 숙여집니다.

항상 양질의 글 너무 감사드립니다 .
반도체 이외의 글에서도 굉장히 날카로운 통찰을 노정하셨었는데 , 모쪼록 다양한 주제의 글로 다작 부탁드려봅니다 .
목록 삭게로! 맨위로
번호 제목 이름 날짜 조회 추천
100854 강남 20대 유명 DJ 만취녀... 벤츠로 오토바이 들이받아 라이더 사망 [115] 프로구214001 24/02/05 14001 7
100852 역대 그래미 어워드 헤비메탈 퍼포먼스 부문 수상곡들 모음(스압주의) [26] 요하네즈4549 24/02/05 4549 6
100851 민주당은 선거제 전당원투표한다더니 결국 연동형 유지하고 위성정당 만들기로 했네요 [115] 홍철12234 24/02/05 12234 0
100850 우리집 미국놈 자폐맨 이야기 [44] Qrebirth10558 24/02/05 10558 171
100849 전세사기가 터지는 무자본 갭투자의 유형 중 하나 [34] 네?!8065 24/02/05 8065 10
100848 자폐스펙트럼 아이는 왜 바지를 내릴까 [332] 프로구217457 24/02/04 17457 48
100847 사람은 과연 베이즈 정리에 따라 살아가는가 [12] 계층방정5089 24/02/04 5089 5
100846 마리 앙투아네트 발언 김경율 결국 불출마 선언 [53] 빼사스8669 24/02/04 8669 0
100845 민주 탈당파 뭉쳐 '새로운미래' 창당…이낙연·김종민 공동대표 [39] Davi4ever9912 24/02/04 9912 0
100844 [팝송] 제가 생각하는 2023 최고의 앨범 Best 15 [12] 김치찌개4041 24/02/04 4041 19
100843 내과 전공의 1년차 후기 및 책 소개 [34] 헤이즐넛커피6114 24/02/03 6114 31
100842 [뻘글] 완전자율주행 시행 전에 원격주행을 시행하는 건 어떨까요? [37] VictoryFood4986 24/02/03 4986 1
100841 보이스피싱을 당해보고 쓰는 안내(?)사항 [46] 삭제됨6323 24/02/03 6323 26
100840 20년 이상 지속되었던 의사집단의 정치적 우경화 경향이 윤석열 때문에 끝나는 것일까요? [104] 홍철12021 24/02/03 12021 0
100838 <추락의 해부> - 추락을 해부하거나, 혹은 해부당하거나. (약스포) [4] aDayInTheLife3379 24/02/03 3379 2
100837 주호민 사건 재판 유죄 판결 이후 특수교사 인터뷰 [509] 종말메이커18694 24/02/03 18694 12
100836 라이젠 8600G,8700G 벤치마크: 그래도 이젠 쓸만한 내장그래픽+ 5700X3D는 정보가 아직 부족 [19] SAS Tony Parker 3751 24/02/02 3751 0
100835 인니 기술자 KF-21 자료유출 적발 [14] 어강됴리6171 24/02/02 6171 3
100834 <웡카> - 극상의 가족영화. [15] aDayInTheLife4435 24/02/02 4435 6
100833 尹지지율 2%p 떨어진 29%…9개월 만에 20%대로 하락 [78] Davi4ever11540 24/02/02 11540 0
100832 새로운 것을 받아들이기 힘든 이유 [13] 번개맞은씨앗6055 24/02/02 6055 6
100831 [번역] 중국이 향후 10년간 4, 5%씩 성장하려면 어떻게 해야하는가? [35] 사람되고싶다7425 24/02/02 7425 11
100830 성형·피부과 ‘의사 독점’ 깬다...간호사 등도 미용 시술 허용 [161] 맥스훼인12756 24/02/02 12756 0
목록 이전 다음
댓글

+ : 최근 1시간내에 달린 댓글
+ : 최근 2시간내에 달린 댓글
맨 위로