PGR21.com
- 자유 주제로 사용할 수 있는 게시판입니다.
- 토론 게시판의 용도를 겸합니다.
Date 2020/12/06 16:19:42
Name cheme
Subject 차세대 반도체 패터닝 공정의 향방
2020년대의 반도체 초미세 패터닝 공정은 EUV (extreme ultraviolet) 기반 lithography가 지배하고 있고, 당분간 이 지배력은 지속될 전망이다 (일부는 E-beam lithography가 차지하고 있긴 하다). 그중에서도 지배적인 lithography는 13.5 nm 파장을 갖는 EUV lithography이며, 현재 이를 기술적으로 실현한 회사는 TSMC나 삼성전자 양사 밖에 없다. 두 회사는 공통적으로 ASML EUV lithography 장비 (NXE시리즈)를 도입하여, 지난 2017년부터 10 나노 이하 초극미세 공정 양산을 시작했고, 이후, 3 나노까지의 공정 로드맵이 어느 정도 완성되어 있다. 실제로 두 회사는 선행 연구, 기술 테스트, 양산 과정에서 엎치락뒤치락하면서 경쟁적으로 7 나노 공정 양산 이후, 2022년대 양산 시작 예정인 5 나노, 2023-2024년 양산 예정인 3 나노를 넘어, 2025년 이후 2 나노 공정까지는 EUV 기반으로 공정 기술 경쟁을 할 것으로 전망된다.

사실 EUV라고 해서 말은 쉬운데, He-Cd 레이저처럼 스위치 키면 바로 부와악하고 나오는 그런 광원은 아니다. 현재 ASML이 채용하고 있는 13.5 nm EUV는 CO2 펄스 레이저를 주석 (Sn)에 집중 조사하여 진공 챔버에서 순간적으로 초고온의 플라즈마를 만든 후, 수백 나노초 이하의 시간 스케일에서 전자-이온 재결합에 의해 방출되는 광자 (photon)을 이용한다. 물론 이 과정에서 13.5 nm 단파장 (single-wavelength)의 photon이 나오는 것은 아니다 (그러면 얼마나 좋겠냐만..). 주석 나노 방울이 CO2 레이저를 맞으면 주석 원자는 다양한 상태의 이온이 된다. 예를 들어, Sn8+부터 Sn13+까지 나올 수 있다. 주석 원자의 오비탈 배치는 [Kr]5s24d105p2이므로, 이 주석 이온은 이론적으로는 4p64dn 상태로부터 4p54d(n+1) 혹은 4d(n-1)(4f,5p) 등의 state로 천이 (transition)하면서 12.5 나노부터 17.5 나노에 걸친 범위의 광자를 방출할 수 있다. 이 중 EUV 광원으로 쓰이는 13.2-13.9 nm 사이의 파장을 갖는 photon의 비중은 20-30% 정도 된다. 나머지 파장대의 Sn 이온은 그대로 주변 광학계에 들러붙을 수도 있고 다시 증발되어 photon을 방출할 수도 있다.

EUV lithography는 그 극악의 기술적 난이도와는 별개로, 어느 정도 기술 로드맵도 확립되어 있고, 산업적 value chain도 비교적 확고하며 (사실 ASML이 EUV lithography는 독점하고 있다시피 하고 있기 때문에, ASML의 노광기를 누가 더 많이 받아 오느냐의 게임이기도 하다..), EUV를 뒷받침할 수 있는 광학 기술도 잘 확립된 편이다. 예를 들어 EUV 광원 전용 반사경인, Mo-Si 박막이 교차하여 50층 정도 적층 된 구조의, DBR (distributed Bragg reflector)의 품질 (즉, 표면 거칠기와 반사도)을 강화시켜 소비 전력을 낮추는 기술은 잘 정립된 편이며, 추가적으로 개선될 가능성도 있고, 굉장히 비싸긴 하지만, 어쨌든 Sn 플라즈마 기반의 광원도 확보되어 있고, 13.5 nm 좌우의 FWHM (Full width half maximum) 기준, 광원 품질도 어느 정도 잡혀 가고 있다. 광원 품질이나 광학계 기술이 비슷한 수준이라면, 같은 광원을 이용하여 물리적 feature size (예를 들어 nano-grating의 선폭 (linewidth) 등)를 낮출 수 있는 가장 확실한 방법은 바로 NA를 높이는 것이다. 왜냐하면 파동광학 원리에 따라, 어떤 광원이든 아베 회절 한계 (Abbe's diffraction limit)을 벗어날 수는 없기 때문이다. 아베의 원리에 따르면, 서로 구분될 수 있는 이미지 사이의 거리 (즉, 1/해상도)는 광원의 파장에 비례하고, NA에 반비례한다. 광원의 파장이 고정되었을 때라면, 당연히 해상도를 늘리기 위해서는 (즉, 이미지 사이의 거리를 줄이기 위해서는), NA를 늘리는 수밖에 없다.

NA는 광원이 통과하는 매질의 굴절률 (n)과 광원의 입사각 (theta)의 함수로 결정된다. NA = n*sin(theta)의 관계식을 따른다. 매질을 건드리지 않을 것이라면, 결국 NA를 높이기 위해 theta를 늘릴 수밖에 없다. 물론 광원에서 발사된 파동은 3차원 공간을 지나므로, 이 theta는 solid angle로 해석해야 하는데, 3차원 공간에서 solid angle이 커지면 커질수록, 낭비되는 영역이 늘어난다. 이 영역을 줄이기 위해서는 적재적소에 새어나가는 빛을 다시 원래 경로로 들어오게 만드는 거울이 필요하다. 즉, NA (numerical aperture)를 키워서 resolution을 더 높이고, 물론 NA를 키우는 것에는 대가가 따르는데, 그것은 광 경로 (optical path length)를 유지하기 위해 어쩔 수 없이 DBR 개수가 추가된다는 것이다. 예를 들어, 현재 수준인 NA = 0.25인 경우는 6개의 DBR만 있어도 되지만, 향후 목표인 NA = 0.7 수준이 되면 DBR은 8개로 늘어나야 한다. DBR 한 개의 반사도가 70%에 못 미치므로 NA = 0.7이 될 경우, NA = 0.2였을 때보다 소비 전력이 2배 이상 늘어난다. 어쨌든 공정 비용이 급상승은 하겠지만 기술적으로 불가능한 것은 아니다.

현재 T사와 S사가 7 나노에서 5 나노 공정 양산에 도입한 ASML EUV lithography는 NA = 0.33을 채용하고 있다. 며칠 전 ASML은 차세대 노광기인 EXE:5000 시리즈에서 NA = 0.55를 도입한 설계를 완료했다고 밝혔으며*, 이는 2024년 이후 3 나노부터 2 나노, 그리고 아마도 1 나노 공정으로까지는 적용이 될 것으로 보인다. 그리고 아마도 2020년대 후반으로 가서 1 나노 공정의 에러율이 높아지는 한계에 부딪혔을 경우, 결국 답은 NA = 0.7 이상으로 올리는 것 밖에는 없을 것으로 보인다.
*https://news.mydrivers.com/1/726/726874.htm

1 나노 공정까지는 어떻게는 이렇게 기존의 방법을 따를 수는 있을 것이다. 되느냐 안 되느냐 보다는, 얼마나 원가를 절감할 수 있느냐의 게임이다. 물론 무지막지하게 비싸지긴 할 것이다. 지금 수준으로 대략 원가를 통밥 때려보면 1 나노 공정으로 아이폰 A18칩 정도 만든다고 봤을 때, 아이폰 가격은 5-7백만 원 가까이 될 것이다. 물론 그렇게 비싸면 아무도 안 사려 할 것이므로, ASML와 T사는 어떻게든 원가를 절반 이하로 줄이려는 노력을 할 것이고, 합리적인 추정이라면 3백만 원 안쪽으로 원가가 형성되게끔 할 수는 있을 것이다.

문제는 2030년대 이후다. 1 나노 이하, 그야말로 '옹스트롬 공정 (angstrom process)'이라고 수식어를 바꿔야 하는 시점에서는 EUV와 NA 올리기 전략만으로는 더 이상 버틸 수 없다. 물론 매질의 굴절률을 높여서 NA를 조금 더 높이는 방법이 있을 수 있겠으나, 그럴 경우, 대부분 굴절률 중에서 k값도 같이 상승되는 결과가 초래되어 광원의 효율은 더 감소하게 되는 단점이 생긴다. NA가 아니더라도, 13.5 나노 파장의 EUV로는 어쨌든 물리적 feature size 줄이기에는 한계가 있다. 이에 대한 대안은 당연히 존재한다. 그중 하나가 BEUV (beyond EUV)다. 단어 그대로 EUV 다음 세대의 광원으로서의 BEUV는 더 파장이 짧아져야 한다. 2000년대 중후반부터 고체물리학자들과 재료 공학자들이 이 광원의 후보군들을 탐색해 왔으며, EUV와 비슷한 방식으로 하되, 조금 더 ionic state가 고 밀집되어 있는 재료들을 찾고 있다. 현재로서 유력한 후보 물질은 가돌리늄 (gadolinium)과 터븀 (terbium) 이온으로서, 이들은 4d–4f state에서 방출되는 광자를 기반으로 6.2-6.5 나노 범위의 파장을 갖는 광원을 생성할 수 있다. 물론 파장이 더 짧아지면 그만큼 에너지는 높아진다는 뜻이고, 광자 에너지 분포의 불확실성 역시 증가하여, 리소그래피용으로 이들 광원을 사용하려면 EUV보다 더 높은 전력과 더 정밀한 광학계가 필요하다. 사실 현재로서는 이들 BEUV에 대응할 수 있는 광학계와 감광재 (photoresist)가 딱히 뚜렷한 후보군은 없다. 또한 BEUV photon의 비등방성 제어도 문제가 되는데, 이 분야는 기술적 차원보다는, 사실 기초 과학에서 계속 연구되는 주제이기도 하다. 작년에 J. Chem. Phys.에 출판된 'Perfect control of photoelectron anisotropy for randomly oriented ensembles of molecules by XUV REMPI and polarization shaping'라는 제하의 논문 같은 결과물만 봐도 알 수 있다.**
**https://aip.scitation.org/doi/full/10.1063/1.5111362

어쨌든 BEUV가 달성되고 NA 제어 기술이 한층 더 발전한다면, 공정 비용은 지금보다 2-3배 더 상승하더라도, 어쨌든 2030년 초중반까지는 BEUV 기반 초초극미세 패터닝 공정이 가능은 할 것이다. 그렇다면 2030년대 중반 이후에는 어떻게 될 것인가? 아마 그때쯤 되면 현재의 폰 노이만 아키텍처에서 탈피한 방식이 나왔을 수도 있고, 뉴로모픽이나 GAAFET 등의 아키텍처가 대세로 자리를 잡았을 수도 있겠지만, 만약 여전히 광원의 파장을 줄이고 광학계와 PR의 최적화가 이루어질 여지가 있다면, 여전히 초초초극미세 패터닝이 가능할까?

이론적으로는 가능하다. BEUV 그다음 타자는 XRL (X-ray lithography)다. 즉, 이제는 본격적으로 Cu K line에서 방출되는 엑스레이를 광원으로 이용할 수 있다. 파장 범위는 대략 0.2-5 nm (2-50 angstrom)으로서, EUV나 BEUV 대비, 1.5-30배 수준의 해상도를 가질 수 있기 때문에, 굉장히 이상적인 광원으로 여겨질 수 있다. 그렇지만 XRL도 이론적으로만 바람직하고, 실질적으로는 넘어야 할 난관이 너무 많다. 일단 광원으로서 X-ray를 사용할 경우, 광원을 모아줘야 하는데 (즉, collimated X-ray), 그렇게 할 수 있는 장비는 일반적인 엑스레이 발생장치로는 불가능하고, 포항 가속기 같은 싱크로트론이 필요하다. 싱크로트론을 충분히 작게 만들지 않는 한, 광원 확보하자고 생산라인보다 더 큰 (즉, 배꼽이 배보다 더 큰) 부지와 시설 건립과 전력 소모를 감당하는 것은 너무 벅찬 일이다. 또한 엑스레이는 워낙 단일 광자당 에너지가 강하기 때문에, 2차 전자 (secondary electron) 생성률도 EUV보다 높다. 2차 전자가 너무 많이 생성되면 마스크 damage는 물론, 광원에 노출된 부분과 아닌 부분 사이의 경계면이 굉장히 거칠어질 수 있다. 고속도로를 포장하는데, 고속도로 영역과 그렇지 않은 영역의 경계가 삐뚤빼뚤하다면 고속도로로서의 효과가 감소하게 되는 것처럼, 애써 패터닝한 회로 패턴의 단면이 삐뚤빼뚤해지면 경계 부분에서 손실되는 신호가 높아지고 그에 비례하여 노이즈 발생률도 높아져서 에러율이 높아진다. 이 경우, 생산 수율이 떨어지고, 소자 수명도 같이 감소하는 치명적 단점이 생긴다. 또한 X-ray가 대부분의 물질을 투과하는 것에서도 알 수 있듯, X-ray를 충분히 반사할 수 있는 반사경 찾는 것도 지난한 작업이다. 아마도 수 나노 정도의 크기를 갖는 주기적 구조의 메타재료를 이용하여 이를 만들 수는 있을 것인데, 애초에 그런 초미세 구조를 어떻게 만들 것인지가 관건이다. 마치 도끼 자루를 만들기 위해 나무를 도끼로 잘라야 하는 것과 비슷한 상황이 연출된다. 또한 XRL에 적합한 감광재 찾는 것도 문제다. 당연히 기존의 유기물 기반 감광재는 적용하기 어렵고, 무기물 기반으로 찾아야 하는데, 충분한 resolution을 뒷받침할 수 있을 만큼의 재료 찾는 것은 아직 기초 과학 수준에서도 어려운 일이다.

XRL이 어쨌든 이러한 난관을 극복하고 양산 단계로 들어오게 된다면, Logic 소자 선폭은 더 줄어들기는 할 것이다. XRL도 성에 안 차는 시대가 올 수 있을까? 만약 그런 시대가 온다면 그다음 타자는 누가 되어야 하는가? 여러 후보군이 있지만, 그중 하나는 앞서 잠깐 언급했던 전자빔 리소그래피 (E-beam lithography, EBL)다. EBL은 말 그대로 전자빔을 모아 전기장을 걸어 주면서 가속시켜 직접 반도체 표면에 패턴을 그리는 방식을 이용하여 패터닝을 한다. 대략 파장은 전자빔 가속 전압의 제곱근에 반비례하는데, 30 kV 정도로 가속된 전자빔의 경우 드브로이 정리에 따라 0.07 옹스트롬 (7*10-3 나노) 정도의 파장을 갖는다. XRL보다도 1/50-1/100 수준으로 더 축소된 수준이다. EBL의 장점은 XRL과는 달리 유기물 PR을 여전히 쓸 수 있다는 점이고, 무엇보다도 직접 패터닝을 하므로 마스크가 필요 없다는 것이다. 그런데 EBL의 가장 큰, 그리고 가장 치명적인 단점은 패터닝 속도가 너무 느리다는 것이다. 현재 업계에서 요구하는 연속 대량 생산 속도를 절대 맞출 수 없다. 또한 XRL이 제대로 작동하려면 E-beam이 가속기를 나와서 바로 샘플 표면에 도달할 수 있게 align이 되어야 하는데, 전자빔이 샘플 표면에 맞으면 맞은 부분만 변하는 것이 아니라, 전자들이 확률적으로 랜덤워크를 하면서 퍼져나가는 것을 피할 방도가 없다. 당연히 전자빔이 세면 셀수록, 퍼져 나가는 unwanted electron의 비중이 높아지고, 따라서 패턴의 거칠기가 악화된다. 이를 극복하기 위해 전자 대신 이온빔을 사용하는 방식 (ion-beam lithography)도 있는데, 이 경우 이온은 전자보다 훨씬 무거우므로 랜덤워크 할 가능성이 훨씬 감소하여 패턴 거칠기가 개선된다는 장점은 있으나, 여전히 느린 패터닝 속도라는 치명적 단점을 극복할 방법은 거의 없다. 현재로서는 전자빔이나 이온빔 리소그래피는 표준화된 대량 생산 공정에는 이용되지 않고 있으며, 주문 생산, 특화된 패터닝 등에만 가내수공업처럼 활용되고 있는 수준이다.

결국 현재 리소그래피 로드맵 상에서 일단 2020년대 후반까지는 어쨌든 EUV로는 갈 것이고, 2020년대 후반-2030년대 중반까지는 BEUV로 버틸 확률이 높으나, 2030년대 중반 이후에는 시장에서 어떻게 기술을 받아들일지는 아무도 모른다. 물론 중간중간 breakthrough가 탄생하여 여러 기술적 난제가 해결될 수 있으므로, BEUV이후, XRL까지도 가능성이 없는 것은 아닌데, 그때쯤 되면 더 이상 회로 선폭을 어디까지 줄일 수 있느냐보다는, 어떤 새로운 개념의, 이왕이면 non von Neumann architecture를 채택한 새로운 개념의 소자가 시장으로 진입할 수 있을 것인지가 더 중요해질 것이라 생각한다. 앞서도 이야기했듯, 메모리의 경우, 아예 AI를 타깃으로 병렬 데이터 처리에 특화된 뉴로모픽을 목표로 한 ReRAM (Resistive RAM)이나 PRAM (phase-change RAM) 방향으로 선회할 수도 있고, 비메모리의 경우, FPGA에 특화된 소자를 목표로 소자 아키텍처가 바뀔 수 있다. 특히, 대용량 데이터를 병렬로 처리하는 것은 향후 AI chip 분야에서는 거의 필수적인 요구사항이 될 것이므로, 팹리스 업체들은 단순히 회로 선폭 줄이는 것 이상으로, TPU, GPU 특화된 공정을 요구할 가능성이 높다. 이에 대응할 수 있는 파운드리 업체는 앞으로도 T와 S 양사 밖에 없을 것으로 보는데, 때마침 2022년쯤 되면 T사의 최대 고객은 이제 애플이 아니라 AMD가 될 것이라는 뉴스도 나왔다.***
***https://www.hardwaretimes.com/amd-expected-to-surpass-apple-and-become-tsmcs-largest-client-by-2022/

상황이 어찌 변하든, 일단은 T, S 양사는 현재의 라인 선폭 줄이는 방향으로 패터닝 기술의 선두를 놓고 계속 경쟁할 것이지만, 결국 특화된 대용량 병렬 (텐서) 데이터 처리 방향으로 다소 스핀오프가 발생하고, 그것이 얼마나 큰 비중을 차지할 것인지에 따라 향후 초초극미세 패터닝 로드맵의 속도와 방향이 결정될 것으로 생각한다.

가끔씩 업계 선두 업체들이 만든 최신 칩의 TEM (투과전자현미경) 단면 사진을 보면서, '인간이 정말 이 정도 정밀도, 이 정도 작은 사이즈의 칩을 만들 수 있는가'라는 생각이 절로 들 정도로, 인간이 이 정도 수준까지 왔다는 사실이 믿기지 않을 때가 있다. 그 정도로 지금 수준의 Logic chip 제조 기술 수준은 거의 마법 혹은 외계인의 기술이라고 불러도 과언이 아닐 정도인데, 이보다 더 아래 단계로 더 혁명적인 기술 수준으로 내려간다는 것에는 얼마나 많은 기술적 진보가 있어야만 하는가 생각해 보면 까마득해지기도 하다.

반도체 패터닝 역시 돈과 지식의 싸움이라, 선행 특허를 가지고 있는 회사가 얼마나 자금을 동원하여 최신 장비를 확보하고 최대한 빨리 최적화하여 양산에 돌입하느냐의 싸움은 지속되겠지만, 그 과정에서 쌓인 경험으로 어느 순간에 현재의 게임 구도를 탈피하여 새로운 프레임을 먼저 짤 것이고, 그 프레임에서 유리한 고지를 점할 것인지가 이제는 더 중요해질 것이다. 물론 그 과정은 중국 반도체 회사처럼 단기간에 돈을 쏟아부어 통과될 수 있을 만큼 녹록지 않으며, 수십 년간의 기초과학 성과물이 켜켜이 쌓여야만 비로소 한 발씩 전진할 수 있는 경로다.

물리학이나 재료 과학, 화학 같은 반도체 관련 기초 과학에서 탐색된 연구 결과물들이 짧게는 5년, 길게는 20년 정도의 시차를 두고, 결국 이렇게 우리 삶과 직결되는 최첨단 기술로서 이리저리 결합되어 실현되는 것을 보며, 기초 과학에 대한 투자가 생각보다 turn around 시간이 짧으며, 그래서 더더욱 기초 과학에 대한 투자를 블라인드 투자만으로는 볼 수 없겠다는 생각도 든다. 정말 반도체 분야는 기초부터 제조까지, 전 영역에 걸쳐, 전 분야에 걸쳐, 전 세대에 걸쳐, 무지막지한 총성 없는 전쟁터임을 매번 실감한다. 한국이 언제까지 반도체 산업에서 지금의 포지션을 유지할 수 있을지는 아무도 모르지만, 적어도 다음 세대, 혹은 그다음 세대까지의 현재 경쟁력 edge를 유지하기 위해서는, 결국 단기적인 기술적 완비는 물론, 중장기적인 기술적 씨앗을 미리 확보하고 있어야 한다는 결론을 내릴 수밖에 없다.

통합규정 1.3 이용안내 인용

"Pgr은 '명문화된 삭제규정'이 반드시 필요하지 않은 분을 환영합니다.
법 없이도 사는 사람, 남에게 상처를 주지 않으면서 같이 이야기 나눌 수 있는 분이면 좋겠습니다."
Foxwhite
20/12/06 16:23
수정 아이콘
아 이런글 너무좋아요! 오랜만에 수능 국어영역이나 PSAT 언어논리 푸는 기분임. 아 문제내보고싶다
20/12/06 16:45
수정 아이콘
잘 읽어 주셔서 감사합니다.^^
20/12/06 17:03
수정 아이콘
3점짜리네요!
20/12/06 21:42
수정 아이콘
저 이러다가 어느 가을, 교육과정평가위원회로 납치되는 겁니꽈.
하심군
20/12/06 16:32
수정 아이콘
인텔 CEO 크르자니크의 실패를 보고 이러니 기술자 출신은 안된다는 식의 말이 좀 오간 걸로 아는데 이 양반 같은 경우에는 그 중에서도 절망한 기술자에 가깝죠. 그 이상 크기를 줄였다간 불량도 많이 나오고 비용이 너무 많이 들어간다는 식으로요. 더 아는 사람일 수록 포기를 잘한다는 거죠. 여기서 오너나 CEO의 결단이 필요한거죠. 본인의 커리어부터 회사까지 직접 걸고 모험을 해야 한 발짝 내딛을 수 있을 때가 있어요. 이런 건 오히려 이성으로는 해결이 안되더군요.
20/12/06 16:45
수정 아이콘
그래서 그렇게 기술자들 엔지니어들 다 쳐내고 인텔이 어찌 되었는지를 살펴 보면...할많하않...
20/12/06 16:33
수정 아이콘
사실 패터닝을 최대한 정교하게 한다까지가 일반인들이 이해하기 쉬우면서 산업 기밀(...)이 아니여서 그렇지. 삼성이 이번에 발표한 GAA 공정도 그렇고 패너닝을 미세하게 뜨는 것 이상으로 중요하게 많아서...
20/12/06 16:46
수정 아이콘
그렇습니다. 본문은 패터닝 이야기만 했지만, 실제로는 선공정, 후공정 모두 난이도가 상당하죠. 산업기밀이든 아니든 큰 의미 없는 것이 사실상 EUV는 ASML 독점이라, 뭐 따라하고 싶어도 못 합니다. 중국이 그렇게 노력해 봤지만 DUV도 제대로 못 만들고 있죠...
20/12/06 16:34
수정 아이콘
이번 애플 m1에 대해 어떻게 생각하시는지 궁금합니다
20/12/06 16:44
수정 아이콘
그 부분도 굉장히 중요한 이슈인데, 조만간 글을 따로 파볼 생각입니다. 감사합니다.
20/12/06 17:51
수정 아이콘
기대하고 있겠습니다
20/12/06 21:47
수정 아이콘
제가 RISC나 FPGA 전문은 아니어서 한계는 있는데, 그래도 아는대로 써 보겠습니다.
김유라
20/12/06 16:39
수정 아이콘
이 분 글 늘 볼때마다, 결론이 ASML 을 사야한다로 가는 것 같았었습니다. 그리고 매우 감사합니다(...).

지금 TSMC-삼성전자의 치킨 게임으로 인해서 미세 패터닝 자체는 엄청 빠르게 진보하는 것 같은데... 정작 그 기술력 바탕이 되어야 하는 팹리스들이 속도를 너무 못 따라가는 느낌이긴 합니다.
닉네임을바꾸다
20/12/06 16:45
수정 아이콘
(수정됨) 만들어져있어야 펩리스들이 돈을 쓰지 선행으로 지를일은...
괜히 파운드리들이 본인 돈으로 리스크 생산까지 하는게...
20/12/06 16:56
수정 아이콘
T사처럼 아예 자사 중심으로 생태계를 확고하게 형성하여 고객사들의 royalty도 확보하겠다는 계획이라면 가능한 일이긴 합니다. 거의 너 죽으면 나도 죽고, 내가 살면 너도 산다는 각오죠.
20/12/06 16:48
수정 아이콘
저는 ASML과 아무런 이해관계가 없지만 ASML 주식을 장기 보유하는 것은 진리에 가깝다는 것쯤은 말씀드릴 수 있겠습니다.크크
팹리스들은 사실 이미 로드맵은 저만치 앞서 가고 있습니다. 구현해줄 팹이 T사와 S사 두 군데 밖에 없다는 것이 문제죠. 그리고 천정부지로 치솟는 공정 비용과 수율 문제는 사실 회사의 명운 걸고 달려들어야 하는 쪽이라, 팹리스와 파운드리는 한 몸체가 되어서 굴러가야 겨우 될까 말까입니다.
2021반드시합격
20/12/06 16:40
수정 아이콘
좋아! 완전히 이해했어 (대충 만화캐릭터의 뿌듯한 얼굴 짤)
20/12/06 16:48
수정 아이콘
(대충 이래야 내 피잘이지 라고 코 밑 긁는 이말년짤)
VictoryFood
20/12/06 16:42
수정 아이콘
문돌이라 제대로 이해하지 못하겠지만 재밌습니다.
그런데 본문의 기술 발전으로 점점 패턴 크기가 줄어드는걸 보니 아무리 써도 석유 고갈 시점이 점점 늘어나는 거 같습니다.
난이도는 올라가겠지만 기술의 발전을 통한 비용 절감과 적절한 가격 상승으로 잔존 석유가 늘어나니까요.
그런데 이렇게 미세공정이 진행되다가 양자컴퓨터가 개발이 되면 미세공정은 어떻게 될지 궁금하네요.
20/12/06 16:44
수정 아이콘
그렇게 보실 수도 있겠습니다만, 반도체 패터닝 공정의 이론적 한계는 이미 존재합니다. 양자역학이 가로막고 있죠.
VictoryFood
20/12/06 16:46
수정 아이콘
그렇군요.
그럼 양자역학 상 이론적 한계는 어디까지인가요?
20/12/06 16:53
수정 아이콘
한계라기 보다는, 양자역학적 현상의 영향을 받기 시작하여, 기존의 물질 물성을 그대로 쓸 수 없는 환경에 다다르는 것을 의미합니다. 예를 들어, 흔히 이야기하는 보어 반지름 (Bohr radius) 전후로 이를 따지는데, 실리콘의 경우 대략 5 nm 정도죠. 이 이하로 내려가면 전자들의 행동이 박막이나 벌크 재료에서의 행동과는 사뭇 다른 양상을 보입니다. 에너지 띠간격이나 에너지 상태 간의 천이 행동, 전도도, 광학적 성질 등이 다 달라지죠. FINFET의 게이트도 너무 얇아지면 전자 터널링에 의해 누설 전류가 발생하여 Signal-to-noise ratio가 높아지거나 cross-talk이 생깁니다.
VerLander
20/12/06 19:36
수정 아이콘
우선 매번 재밌게 좋은 글 써주셔서 감사히 잘 보고있습니다!

지금 시제품으로 나오는것이 5나노이고 곧? 내년?에 3나노도 간다는 말이 나오는데 그럼 이미 양자적 한계에 도달한것이 아닌가요?

그렇다면 터널링같은 효과에 의해 누설전류같은게 현재도 충분히 있을것 같은데 이것이 아직은 미미한 정도라 무시하고 있나요? 아니면 뭐 후처리를 통해 보정하고 있는지 궁금합니다.
20/12/06 20:33
수정 아이콘
양자 효과가 있는 것들도 있긴 할텐데...
그 말씀하신 3~5 nm size의 공정이란 건 어떻게 보면 performance level과도 가까운 개념이여서요. 아직까지는 양자적 한계에 도달 못한 것들이 꽤 많아서 scaling 의 한계 걱정은 당분간 할 필요가 없죠..
20/12/06 21:44
수정 아이콘
적어도 앞으로 10년은 현행 방식으로는 갈 것 같습니다. 문제는 천정부지로 치솟흔 노광기와 전력소모인데...
20/12/06 21:44
수정 아이콘
흔히 5나노, 3나노 공정 뭐 이렇게 이야기할 때 물리적 선폭 (업계에서는 HP (half pitch)라고도 합니다)가 실제로 5나노, 3나노라는 뜻은 아닙니다. 일종의 관행적 표현에 가깝죠. 실제로 5나노, 3나노가 되면 실리콘 기준으로는 Bohr radius이하로 가는 것이니, 양자역학적 효과가 본격적으로 작동하게 됩니다. 당장 전자구조부터 바뀌죠.
닉네임을바꾸다
20/12/06 16:46
수정 아이콘
폰 노이만 구조를 넘을 수 있을것인가...양자역학이 결국 버틸 미세공정보단 이쪽이 기대하는게 나을...?
20/12/06 16:55
수정 아이콘
솔직히 저도 반신반의합니다. 폰 노이만 구조를 깨버리려면 아예 설계부터 다시 해야 하는데, 지금의 계산 방식이 결국 전자 제어로 이루어지는 구조라, 어쨌든 전자를 제어할 것이라면 다른 아키텍처가 어떻게 경쟁력을 가질 수 있을 것인지...이 주제도 쓸거리가 무궁무진한데, 나중에 시간 나면 각잡고 써 보겠습니다.
닉네임을바꾸다
20/12/06 16:57
수정 아이콘
뭐 나와도 문제일걸요...지구상의 모든 프로그램이...폰 노이만 구조를 벗어나지 않으니까...레거시가 어찌될지...
20/12/06 17:00
수정 아이콘
뭐 하이브리드 차가 적절하게 내연기관차와 전기차 사이를 이어 주듯, 하이브리드 아키텍처가 나오지 않을까 합니다. 그걸로 수십년 버티고, 결국 양자컴이든 뉴로모픽이든 스커미온이든 스핀트로닉스든 진보하겠죠.
닉네임을바꾸다
20/12/06 17:03
수정 아이콘
(수정됨) 엑티브나 플래시같은 응용 소프트웨어단 하위호환도 문제가 되는데 하드웨어단의 대격변이면...어?
20/12/06 17:10
수정 아이콘
양자컴이 사실 제대로 된 quantum supremacy를 증명하려면 양자컴만 유효 시간 동안 계산할 수 있는 문제를 양자컴에 특화된 하드웨어로 풀어야 하는데, 작년에 구글의 시커모어칩은 다소 그럴 가능성이 있다는 것을 내비치긴 했지만, 업계의 시각은 여전히 멀었다죠. 모르겠습니다. 충분한 시간이 흐르면 하드웨어단에서의 대격변이 충분히 일어날 수 있으리라 보는데, 그때쯤 되면 반대로, 그 대격변 하드웨어를 제대로 쓸 수 있는 non Turing algorithm이 나와 있을지..
닉네임을바꾸다
20/12/06 17:19
수정 아이콘
아이고 폰 노이만도 넘기 힘들거같은데 튜링이요...
20/12/06 17:20
수정 아이콘
이제 벗어날 때도 되었죠 뭐.크크
닉네임을바꾸다
20/12/06 17:22
수정 아이콘
(수정됨) 처치-튜링 명제를 생각하면...글쌔요?
어떠한 알고리즘에 대한 실행은 튜링으로도 실행된다는걸 생각하면...이게 거짓이여야...양자컴도 이걸 벗어나진 않을걸요..아니면 그냥 알고리즘같은게 아닐겁...
20/12/06 17:24
수정 아이콘
닉네임을바꾸다 님// 튜링머신을 벗어나기는 쉽지는 않겠죠. 대안으로 제시되는 것도 3진수 컴퓨터 이런 것들인데, 수학적으로는 그냥 튜링머신의 아류작일 뿐이긴 합니다.
닉네임을바꾸다
20/12/06 17:35
수정 아이콘
cheme 님// 뭐 처치튜링명제 극단적버전은 모든 물리적과정을 튜링기계로 시뮬레이션 할 수 있다라는 마당에...크크
처치튜링도 증명하기 어려울텐데 이게 증명될 가능성은 택도 없지만요...
알고리즘이니 시뮬레이션이니 정의부터가 붕 뜨니까...
20/12/06 21:45
수정 아이콘
닉네임을바꾸다 님// 그러게 말입니다. 그래도 새로운 아키텍처 새로운 수학적 방식이 나올 때가 무르익긴 했습니다. 뭐가 어떻게 튀어나올지는 아무도 모르지만, 어쨌든 제가 느끼기에는 한계에 봉착했다는 느낌이 있어서..
닉네임을바꾸다
20/12/06 22:43
수정 아이콘
(수정됨) cheme 님// 알고리즘이 아니거나 처치튜링명제가 틀리지 않고서야...과연?
하긴 처치튜링명제야 어떻게든 반례만 나오면 되긴할테지만...
ZF와 독립되어있으면...그때부터 볼만할거같기도하지만요 크크 뭐 그 이전에 알고리즘부터가 정의가 안되서 붕뜨나...
조말론
20/12/06 16:48
수정 아이콘
잘은 모르지만 관심은 가서 영상이나 글이나 챙겨보고있는데 올려주시는 글 항상 오래도록 곱씹으면서 찾아가며 보고있습니다 감사합니다!
20/12/06 16:56
수정 아이콘
잘 읽어 주셔서 감사합니다.^^
20/12/06 16:51
수정 아이콘
당장 2년뒤도 어떻게 될지 모르는판이라 euv 다음은 과연 누가 연구하고 있을까 싶네요. imec같은 곳에서 하고 있으려나
20/12/06 16:54
수정 아이콘
IMEC에서는 회원사들의 선행 기술 feasiblity를 테스트하고 있을 테니 아마 자세한 정보가 있겠지만, 아시다시피 그 정보는 폐쇄적으로 회원사들 사이에서만 통용되는 것이라 어디까지 테스트 해봤는지는 명확하지는 않습니다. 다만 제가 가진 소스에 따르면 일단 2020년대는 게임은 거의 끝난 상황입니다.
가라한
20/12/06 17:03
수정 아이콘
EUV 다음 광원으로 전이하기 전에는 EUV 를 이용한 멀티 패터닝이 10년 이상 지속 될 걸로 봅니다. EUV 로 넘어 오기 전 DUV 시기에도 그랬고 EUV도 다른 부분은 다 되어 있는데 10년을 잡아 먹은 부분이 광원이라서요. 여기서 다른 광원으로 넘어 가는 것은 더 오래 걸릴 거 같네요
20/12/06 17:05
수정 아이콘
그렇긴 합니다. 다만 DUV처럼 멀티 패터닝하기에는 파장이 너무 짧아서, 결국 aligner와 광학계 싸움이 될텐데, 그 비용과 NA늘리는 비용, 혹은 파장 줄이는 비용을 저울질 할 것으로 생각합니다.
가라한
20/12/06 17:12
수정 아이콘
지금까지 litho 역사를 볼때 aligning 보다는 광원이 난이도가 훨씬 높았어서 아마 멀티 패터닝으로 가지 않을까 합니다. 그리고 몇 년 전이긴 하지만 EUV 멀티 패터닝 로드맵 관련 얘기를 듣기도 해서 아마 그 쪽이 가능성이 높을 거라 봅니다.
20/12/06 17:18
수정 아이콘
네. 저도 LELE, SADP 로드맵 자료를 본 적이 있는데, 구체적인 전략이 있긴 하더라구요. 다만 IMEC에 있는 지인 이야기로는 결국 EUV도 secondary e에 의한 roughness 문제를 피할 수 없어서, multi-patterning이 꽤 어려울 것이라는 이야기를 하더군요. 잘 아시다시피, 선폭이 좁아질수록 선의 겉면 (절벽이라고 부르는 것이 낫겠네요) roughness 영향이 더 커지는데, 예를 들어 HP 20 nm 안쪽의 경우, 선폭 거칠기가 1 nm 정도를 왔다갔다하면 사실 표면에서 scattering loss 등으로 에러가 누적될 가능성이 있습니다. 단일 패터닝이 아닌, 멀티 패터닝으로 가면 결국 겹치는 부분의 roughness는 더 늘어나게 되는데, 이게 tolerance 문제를 야기하기도 합니다. 이 역시 극복가능한 문제가 될 것이라고 생각합니다만, 문제는 얼마나 저렴한 원가로 그것을 가능케 할 것이냐는 부분이겠습니다. aligner의 정밀도는 사실 큰 문제는 아닐 것이고, 에칭 과정과 후공정에서의 정밀도 저하가 문제가 될 것으로 생각합니다.
북극곰탱이
20/12/06 17:05
수정 아이콘
항상 양질의 글 잘 읽고 갑니다.

이러다가 갑자기 다강체가 쓸만한게 나오면 또 그 쪽으로 갈 수도 있겠고, 위상부도체가 소자로 응용될수도 있겠죠. 다강체는 지금 SrMnO3 같은거로 자기 모멘트, 분극 갖고 쓰는데 뭐가 나올지... 페로브스카이트는 (111)로 기르면 tri-fold symmetry가 나오니까 위상부도체 각도 보는거 같던데 말입니다.
20/12/06 17:08
수정 아이콘
잘 읽어 주셔서 감사합니다. 말씀하신 것처럼 충분히 다강체가 기술적 scope으로 들어 오면 후보 물질로 고려될 가능성이 있습니다. 특히 짧은 파장으로 내려갈 수록 그에 맞춰 dielectric constant를 제어할 수 있는 소재의 중요도가 커지니까요. 페로브스카이트 중에서도 전통 재료인 PNZ 같은 재료는 비선형 광특성까지 있으니, 나중에 광원 anisotropy 제어하는 과정에도 좋을 것 같고, 고유전율 소재로도 당연히 이용될 수 있으리라 생각됩니다. 문제는 우수한 결정립을 갖는 소재의 확보인데, 현재로서는 결정성 제어는 물론이고 안정성 문제, 산화로 인한 defect 문제가 꽤 큰 난관이라 (랩스케일어서는 잘 되지만..), 소재 업체들이 얼마나 진보를 이룰지 지켜볼 일입니다.
묵리이장
20/12/06 17:10
수정 아이콘
알아들어서 다행이다.
80년대부터 핫했던 강유전체 이야기도 들어보고 싶군요.
20/12/06 17:10
수정 아이콘
위에 페로브스카이트에서 살짝 언급했습니다.^^
북극곰탱이
20/12/06 17:17
수정 아이콘
강유전체는 dipole을 100% 가깝게 screening을 할 수가 없어서 작아질수록 세지는 depolarization filed 문제가 이론적으로 해결이 거의 힘들죠. 가장 좋은 전극이 SrRuO3 입니다만, 이건 Ru의 휘발 등의 문제가 있어서 주로 실험실에서 쓰는거고, 같은 이유로 대부분 강유전성과 강자성을 동시에 가진 다강체가 소자의 scaling에 불리합니다.
aDayInTheLife
20/12/06 17:37
수정 아이콘
좋은 글 감사합니다. 마지막 부분에서 잘 발전된 기술은 마법과 다르게 보이지 않는다는 말이 생각나네요. 요쪽은 학부에서 맛만 보고 있는데 덕분에 지식이 늘어서 갑니다.
20/12/06 21:48
수정 아이콘
우리는 주로 칩의 겉면 (top view)를 보다보니 뭐 그런가보다 별 감흥이 없는데, 가끔씩 단면 TEM 사진을 보면 새삼 깜짝 놀라곤 합니다. 정밀도와 정확도가 여기까지 내려 왔구나 라는 사실에 새삼 탄복도 하죠. 말씀하신 것처럼 정말 잘 발전된 과학기술은 마법과 구분할 수 없는 수준이 되는 것 같습니다.
네이비크림빵
20/12/06 17:49
수정 아이콘
우와 상세한 업계글 감사합니다. 서브나노미터를 공정까지 가면 실리콘 베이스는 힘들지 않을까 싶은데 재료는 언제 한번 바뀌려나요.
20/12/06 21:49
수정 아이콘
실리콘을 대체할 수 있는 재료는 이미 존재하지만 문제는 실리콘만큼 흔하지도 저렴하지도 표준화되어 있지도 않다는 것이겠죠...
바카스
20/12/06 17:50
수정 아이콘
메모리 나노 공정과 LSI 나노 공정이 다른가요?

갤S21 국내향 AP 엑시노스 9840은 5나노로 알고 있습니다. 업계발에 의하면 5나노 최초 양산인데 전작 9830 대비 수율도 상당히 좋다라고 하더라구요. 여하튼 LSI는 20년 5나노, 21년 4나노, 22년 3나노 양산 로드맵으로 알고 있는데, 작성하신 글과는 좀 달라서 메모리쪽은 다른가해서 궁금합니다.
20/12/06 21:50
수정 아이콘
공정 자체만 놓고 보면 딱히 구분할 필요는 없습니다. 일단 나노그레이팅을 만드는 것이 일종의 building unit이니까요. LSI 로드맵은 알고 계신 것은 다소 빠른 타임테이블로 보입니다.
판을흔들어라
20/12/06 18:17
수정 아이콘
얼마전에 중국 반도체 회사가 투자금 받아서 잠적했다는 기사를 보니 TSMC와 삼성 둘이 그냥 서로 경쟁하면서 독주하지 않을까 예상이되네요. 그런데 예전에 정부에서 삼성 반도체 공정 공개하라고 한 기사를 봤는데(반도체 공장 암환자 발생관련이라고 들었습니다.) 이게 정부가 오버하는 건지 삼성이 오버하는 건지 업계분의 의견이 궁금합니다.
20/12/06 21:51
수정 아이콘
문제가 되는 부분은, 제 개인적인 생각으로는, 딱히 공개해도 기술적 노하우 유출 이슈는 없을 겁니다. 이미 표준화된 공정이라서...진짜 기술적 노하우가 걸린 공정은 거의 자동화되어 있기 때문에 사람이 관여하기도 힘듭니다.
할매순대국
20/12/06 18:26
수정 아이콘
힘내라 삼성
20/12/06 21:55
수정 아이콘
힘내라..T..아 이게 아닌가...S!
분란유도자
20/12/06 18:34
수정 아이콘
왜 저보다 잘아십니까 역시 cs는 노동자에요.,.,
20/12/06 21:54
수정 아이콘
cs에서 혁신이 있어야, 그에 자극 받아서 하드웨어에서도 혁신이 생기죠.^^
20/12/06 18:56
수정 아이콘
좋은글 잘읽었습니다
20/12/06 21:49
수정 아이콘
읽어 주셔서 감사합니다.
20/12/06 19:05
수정 아이콘
EUV 다음이 뭐일까 궁금했었는데 훌륭한 글 감사합니다.
마지막 기초과학 투자에 대한 강조도 격하게 공감합니다.
다만 EUV 다음으로 BEUV와 XRL을 제시해주셨는데, 이에 대한 선행연구와 기초과학 투자가 우리나라 과학기술 상황을 감안시 합리적인 선택인지(즉, 자체개발이 더 낫다 or 사서 쓰는게 더 낫다), 현재 삼전 등에서 조용히 연구진행중인지 궁금합니다.
왜냐면 작년 일본 수출규제 터졌을때 EUV 장비 국산화 얘기도 잠깐 나왔었는데 이건 워낙 넘사벽이라 그냥 사다 쓰는게 낫다란 얘기가 있었던게 기억나서요
닉네임을바꾸다
20/12/06 20:03
수정 아이콘
(수정됨) 광학쪽 기술이 없으면 확실히 힘든걸로 알아서....
일본이 광학에선 우리보다도 더 높은데도 못만들고 ASML이 독점하고 있는게 EUV니까요...
니콘과 캐논이 있는 일본도 안된게 EUV라서요 예네는 노광장치도 생산하는데요...
20/12/06 21:46
수정 아이콘
노광기 자체를 선행적으로 연구하는 그룹은 대학의 랩 수준에서 하는 곳은 있는데, 회사 차원에서 직접 개발하는 곳은, 적어도 EUV 레벨에서는 없을 겁니다. 다만 EUV에 매칭되는 PR이나 얼라이너, 펠리클 등의 소재/소자를 개발하는 회사들은 좀 있습니다.
Daniel Plainview
20/12/06 20:24
수정 아이콘
궁금한 부분이 Ar-F도 바로 euv로 넘어간 건 아니고 멀티 패터닝이나(pepe 같은) Ar-F-i 같은(밑에 물의 굴절률을 이용해 파장을 더 낮추는) 소규모 기술혁신으로 대규모 혁신 이전의 단계들을 커버해왔던 것으로 아는데 euv도 그런 소규모 기술혁신들로 지금 한계보다 더 내려가지 않을까요?
20/12/06 21:54
수정 아이콘
말씀하신 multi-patterning도 당연히 중간 단계의 옵션으로 작동할 겁니다. 문제는 DUV에서 그랬던 것처럼 정밀도가 보장될 것이냐는 부분, line roughness가 0.5 nm 이하로 제어될 수 있을 것이냐는 부분일 것인데, 그에 대해서는 아직 업계가 많은 테스트를 해 보지는 않은 상황이라 장담하기 어렵습니다. 기술적으로 되긴 될텐데, 아마 굉장히 고비용 공정이 될 것 같습니다. 이 역시 회사마다 노하우가 다르니까, 뚜껑을 열어봐야 알 수 있겠지만, 적절한 옵션 그 이상의 포지션은 아닐 것 같습니다.
아스라이
20/12/06 21:07
수정 아이콘
(수정됨) 목빠지게 기다린 시리즈가 뙇!하고 올라와서 너무 좋습니다. 이 글과 함께 주말 마무리 하기 좋네요. 너무 감사해요~! ^^
20/12/06 21:54
수정 아이콘
에구. 읽어 주셔서 감사합니다.^^
20/12/06 22:46
수정 아이콘
좋은글 너무감사합니다
20/12/06 22:48
수정 아이콘
읽어 주셔서 감사합니다.^^
양말발효학석사
20/12/07 00:36
수정 아이콘
추천드립니다.
20/12/07 14:46
수정 아이콘
감사합니다.^^
20/12/07 13:35
수정 아이콘
늘 감사 드립니다!!
20/12/07 14:46
수정 아이콘
늘 감사합니다.^^
주파수
20/12/07 16:17
수정 아이콘
정말 잘 읽었습니다~!
20/12/07 17:30
수정 아이콘
감사합니다.^^
레이첼 로즌
20/12/07 19:07
수정 아이콘
처음에 수많은 영문 표기를 보고 한국형 시리즈인줄 착각했습니다. 죄송합니다..
좋은 글 잘 읽었습니다.
20/12/07 19:15
수정 아이콘
아..크크...잘 읽어 주셔서 감사합니다.
목록 삭게로! 맨위로
번호 제목 이름 날짜 조회 추천
100369 [서평] '내가 행복한 이유' 그렉 이건 作 [6] cheme5125 23/12/01 5125 11
100261 프로젝트 헤일메리: 하드 SF와 과학적 핍진성의 밸런스 게임 [34] cheme7793 23/11/14 7793 25
100244 '최후의 질문' 다시 생각하기 [35] cheme8506 23/11/12 8506 37
97588 2023년판 가트너 전략기술 동향 보고서 [10] cheme11559 23/01/02 11559 24
94559 허수는 존재하는가? [91] cheme15209 21/12/27 15209 52
94481 경제복잡도지수, 그리고 국가경쟁력 [27] cheme13684 21/12/21 13684 61
94325 수컷 공작새 깃털의 진화 전략 [19] cheme12153 21/12/10 12153 53
93606 불확실성 속의 지도자의 덕목 [13] cheme11342 21/10/03 11342 10
93584 AI가속기 경쟁, 그리고 차세대 반도체 칩 시장 [52] cheme13453 21/10/01 13453 46
93076 차세대 EUV 공정 경쟁에 담긴 함의 [50] cheme18278 21/08/23 18278 56
92736 미군이 아프간에서 발 뺀 전술적 이유들 (feat.건들건들) [88] 아스라이19551 21/07/30 19551 8
92541 미군의 아프간 철수가 불러 올 나비효과 [80] cheme21993 21/07/15 21993 48
92493 중국 반도체 굴기의 위기 [136] cheme24903 21/07/12 24903 113
89512 프록시마 센타우리가 보내 온 HELLO [46] cheme12111 20/12/23 12111 23
89091 차세대 반도체 패터닝 공정의 향방 [83] cheme15971 20/12/06 15971 51
88596 삼성전자와 TSMC의 초미세 파운드리 공정 기술 전쟁 [41] cheme20496 20/11/01 20496 56
88168 시대의 불운아 루드비히 볼츠만 [32] cheme13293 20/09/21 13293 31
88159 엔비디아의 ARM 인수가 갖는 의미 [128] cheme19043 20/09/21 19043 56
88120 금성의 대기에서 생명체가 존재할 수 있을까? [67] cheme16401 20/09/16 16401 37
88105 일본 반도체 왕국 쇠망사 8 (완) [48] cheme24277 20/09/15 24277 62
88104 일본 반도체 왕국 쇠망사 7 [14] cheme21349 20/09/15 21349 33
88073 일본 반도체 왕국 쇠망사 6 [61] cheme23795 20/09/12 23795 52
88067 일본 반도체 왕국 쇠망사 5 [87] cheme26641 20/09/12 26641 39
목록 이전 다음
댓글

+ : 최근 1시간내에 달린 댓글
+ : 최근 2시간내에 달린 댓글
맨 위로