PGR21.com
- 자유 주제로 사용할 수 있는 게시판입니다.
- 토론 게시판의 용도를 겸합니다.
Date 2020/08/30 05:50:17
Name cheme
File #1 EUV.jpg (24.0 KB), Download : 63
Subject 중국 반도체 굴기의 미래 1 (수정됨)


최근 '패턴의 과학' zoom 대중 강연 중, 초반 부분에서 왜 우리가 패턴에 대해 관심을 갖는가에 대해 청중들과 잠시 논했었다. 패턴에 아름다움이 숨겨져 있기도 하고, 이미지로서의 패턴뿐만 아니라, 신호가 갖는 각종 패턴에 대한 해석은 곧 불확실한 미래에 대한 최선의 대비책이기도 하다는 이야기를 했었다. 만약 이 질문을 반도체 공정 엔지니어나 전자공학자들에게 했다면 어떤 답이 나왔을까? 십중팔구 “'패터닝'이 중요하기 때문이다” 라는 대답이 나왔을 것 같다.

반도체 공정에서 말하는 패터닝 (patterning)은 말그대로 반도체 표면 위에 2차원 혹은 3차원 구조로 아주아주 작은 각종 패턴을 새겨 넣은 공정을 의미한다. 이미 기본 원리는 고등학교 (물리?) 교과서에도 실려 있을 정도로, 이제는 반도체 공정에서 말하는 패터닝이 어떻게 이루어지는지는 많이 알려져 있다. 그런데 보통 사람들이 교양 수준으로 알고 있는 대부분의 패터닝 공정은 이제는 거의 쓰이지 않는다. 일부 실험 용, 혹은 성능이 아주 뛰어 날 필요가 없는 반도체 소자 제작 용도 정도로 쓰인다. 그렇다면 요즘 각광 받고 있는 5 nm 급, 심지어 3 nm 급 반도체 로직 패턴들은 어떤 방법으로 만들고 있을까? 적어도 현 시점에서 인류가 보유하고 있는 가장 극미세 패터닝 기술의 핵심은 EUV (extreme ultraviolet) 패터닝이다.

보통 반도체 패터닝은 기본적으로 고퀄의 광원이 필요하다. 미술 시간에 석판화 (리소그래피)를 실습해 본 분들은 기억나시겠지만, 석판화에서는 아라비아고무 용액 같은 특수한 용액을 특정한 기판 (주로 알루미늄 판)에 뿌리고, 그 위에 직사각형 형태의 체에 원하는 밑그림을 그린 후, 햇빛에 노출시켜 원하는 그림이 그대로 아라비아 고무 용액에 전사되게끔 한다. 그래서 아라비아 고무 용액 중 선택적으로 굳은 부분만 남기고 씻어 내면 (이를 에칭이라고 한다)판화가 완성되는 식이다. 반도체 공정의 패터닝도 이와 크게 다르지 않다. 감광액 역할을 하는 photoresist (포토레지스트)와 햇빛 역할을 하는 특정 파장의 광원이 필요하다. 이 때, 햇빛처럼 광범위한 스펙트럼 전체를 광원으로 사용할 경우, 파장에 따라 물질이 흡광하는 정도가 달라지므로, 반도체 공정에는 다파장 광원은 활용할 수 없고, 따라서 아주 좁은 범위의 파장, 가급적 단파장을 사용한다. 이 때문에 레이저 광원이나, 플라즈마 발광 같은 특수한 광원이 필요하다.

20세기 후반부터 21세기 초반까지는 20-50 nm 급의 패터닝만으로도 산업적인 수요를 감당할 수 있었고, 이에 대응하던 패터닝 기술은 주로 과거의 포토리소그래피 (photolithography)를 위시로, 전자빔 리소그래피 (E-beam lithography)와 심층 자외선 리소그래피 (Deep UV lithography, DUV)였다. 그렇지만, 2010년대로 넘어오기 시작하면서 칩 하나가 처리해야 하는 데이터의 용량이 기하급수적으로 증가하기 시작했고, 특히 4G, 5G 통신 기술에서 다루는 데이터 용량의 급증 (특히 고해상도 동영상과 가상현실 계산 처리)은 더더욱 고속, 안정성, 저전력, 무결점, 고수율 GPU, CPU, APU 등에 대한 수요의 폭증세를 불러 왔다. 과거 반도체 업계의 금과옥조처럼 여겨졌던 무어의 법칙 (Moore’s law)은 더 이상 예전처럼 멱함수 법칙 (power law)을 그대로 따라가지는 않지만, 대용량 정보의 고속 처리에 대한 수요는 그에 아랑곳하지 않고 미친듯이 늘어나고 있는 상황인 것이다. 따라서 더 강력한 반도체 칩에 대한 기술적 요구는 앞으로도 계속 지속될 수 밖에 없는 형국이다.

보통 반도체 CPU 등의 칩에서 패터닝을 통해 먼저 만드는 패턴은 제일 간단한 종류지만, 제일 중요한 패턴이기도 한 line-and-space pattern (혹은 나노회절격자 (nano grating pattern))이다. 겉모습만 보면 젓가락을 수백, 수천 개를 한 방향으로 완벽하게 나란히 간격 맞춰 정렬한 것과 비슷한 모양새다. 물론 젓가락 하나의 폭은 이제 나노미터 수준까지 내려와야 대용량 정보 처리에 대한 수요에 대응할 수 있다. 젓가락의 폭, 혹은 ‘선폭’이라고도 불리는 패터닝 특정 크기는 반도체 패터닝에서 활용하는 광원에 따라 달라진다. 참빗으로 머리를 빗으면 머리를 더욱 세밀하게 빗질할 수 있는 것처럼, 광원 역시 더 짧은 파장을 활용하면 더 세밀한 패터닝이 가능하다. 선폭이 짧아지면 그에 비례하여 동일한 면적에 새겨 넣을 수 있는 선들의 개수는 더 많아지며, 따라서 더 많은 정보를 처리할 수 있다. (물론 이렇게 간단하게 계산되지는 않지만 일단 이렇게 봐도 무방하다.)

따라서 원리적으로는 반도체 패터닝 과정에서 선폭을 줄이고 싶다면 더 짧은 파장을 활용하면 된다. (물론 더 고-굴절률의 렌즈 재료를 써서 numerical aperture를 사용해도 된다.) 앞서 잠깐 언급했던 DUV 리소그래피의 경우, 패터닝에 활용한 광원은 KrF (불화크립톤) 혹은 ArF (불화아르곤) 엑시머 레이저 (Excimer laser)다. KrF 레이저는 248 nm, ArF 레이저는 193 nm의 파장을 갖는다. 광원과 더불어 이와 짝을 이룰 수 있는 감광액, 즉 포토레지스트가 필요하고, 작년 이맘 때 일본의 소재-부품 관련 수출 규제로 한창 나라 안팎이 시끄러웠던 시절, 큰 이슈가 되었던 소재 중 하나도 바로 이러한 엑시머 레이저 패터닝 용 감광액이다.

하지만 이들 광원에 의존한 DUV 리소그래피 기반 패터닝 역시, 급증하는 대용량 고속 정보처리 수요를 감당할 수 없고, 따라서 더 짧은 파장의 광원이 필요하게 되었다. 그래서 나온 것이 바로 extreme UV (EUV)다. 파장은 이제 13.5 nm까지 내려 온다. 단순하게 생각하면 ArF DUV의 193 nm 파장 대비, 선폭을 1/14까지도 줄일 수 있으니, 굉장한 기술적 진보를 이룰 수 있을 것이라 생각할 수 있을 것이다. 문제는 이 정도 파장 대역의 초단파 전자기파는 대부분의 물질에 잘 흡수된다는 것이다. 이것이 왜 문제가 되는가 하면, 애써 EUV 광원을 만들어냈다고 해도, 이들이 진행하면서 주위 물질, 가스, 기판, 기기 내벽 등에 대부분 흡수되어 버릴 가능성이 높기 때문이다. 그래서 EUV 리소그래피부터는 DUV까지 채택했었던 아키텍처를 버리고, 완전히 새로운 방식의 아키텍처를 선택한다. 일단 광원 역할을 하는 소재를 외부에서 미세한 방울 형태로 떨어뜨린다 (초당 수만 번, 첨부 그림 참조). 이 때 사용하는 재료는 주석 (Sn)이다. 이 미세한 (주로 마이크로미터 스케일) 주석 방울에 CO2 레이저에서 나온 초강력 펄스 형태의 전자기파가 부딪히면 순간적으로 Sn은 여기된 (excited) 플라즈마를 만들어 내고, 이 플라즈마는 다시 특정 파장의 전자기파를 방출하면서 흩어진다. 플라즈마 발생 효율을 높이고, 결함을 제거하며 EUV 광원 수율을 높이기 위해, 노광 장비 내부는 고진공을 유지하되, 플라즈마 발생 부분만 순수 수소로 미세하게 조정한 압력으로 채워 넣는다. 마이크로미터 수준의 Sn 방울을 사용했을 경우, 얻을 수 있는 그 특정 파장이 바로 13.5 nm 정도 되는 파장이고, 당연히 엑시머 레이저와는 달리 다소 파장 변동 범위가 있다. (대략 5-7% 정도).

광원을 만들어내는 과정만 봐도 엄청나게 고난이도로 보이는데, 사실 넘사벽 기술은 또 있다. 애써 만들어낸 EUV를 무사히 집광시켜 반도체 기판으로 보내야 하는데, 앞서 설명했듯, EUV는 대부분의 물질에 잘 흡수되므로, 반도체 기판으로 가기도 전에 많이 손실된다. 대부분이 손실된다면 얼마나 아깝겠는가. 따라서 가급적 EUV가 발생한 기기 내부는 마치 놀이공원에 있는 거울의 방처럼 EUV를 잘 반사할 수 있는 형태로 설계할 수 밖에 없다. 문제는 아무리 정밀한 거울이라도 EUV를 너무나 잘 흡수한다는 것이다. 그래서 이에 대응하기 위해 우리가 흔히 알고 있는 거울이 아닌, 인공적인 거울을 쓴다. 그 대표적인 케이스가 바로 distributed Bragg reflector (DBR)이다. DBR은 마치 이탈리아 요리 라자냐처럼 생겼다. 밀가루와 토마토 소스가 한 층씩 번갈아가면서 켜켜이 쌓인 것 같은 구조인데, DBR 거울은 밀가루와 토마토 소스 대신, 고-굴절률, 저-굴절률 광학 박막을 번갈아가면서 쌓는다는 것이 다르다. EUV의 경우, 최대한 반사율을 높이기 위해 최적으로 선택된 재료는 몰리브덴 (Mo)과 실리콘 (Si)이다. 이들 각각을 수-수십 나노미터 두께로 한 층씩 아주 정밀하게 쌓으며 (이 과정도 예술에 가깝다..), 총 40-50층 정도의 층수를 갖게끔 쌓는다. 계산포토닉스 방법 중에, transfer matrix method (TMM) 혹은 scattering matrix method (SMM) 라는 방법이 있는데, 맥스웰 방정식을 각 층의 경계마다 행렬 형태로 깔끔하게 계산하여, 이들이 연결된 거대한 지배 방정식을 수치해석 방법을 통해 해를 구하고, 이를 통해 반사율과 투과율, 흡광율을 계산하는 방법이다. 이 방법을 활용하면 몇 층을 몇 나노 두께로, 그리고 각 층은 어떤 굴절률을 갖게끔 쌓아야 할지 최적화 설계를 할 수 있다. (필자가 연구하는 분야 중 하나다.) 불행하게도 이렇게 복잡한 구조의 인공 거울을 활용해도 여전히 EUV 전자기파는 너무나 물질을 사랑한다는 것이다. Mo/Si DBR을 활용하는 경우에도 EUV는 이 거울에서 한 번 반사될 때마다 무려 30-40%씩 흡수된다. 대충 35% 정도 흡수된다고 가정해 보자. 보통의 EUV는 아무리 최적 설계를 해도, 만들어진 EUV 광원을 원하는 방향으로 집광시키기 위해 최소 6개의 거울에서 반사가 순차적으로 이루어져야 한다. 따라서 6개의 거울을 거친 후, 남은 EUV 광원의 세기는 0.65^6 = 7.5% 밖에 안 된다. DBR 거울을 7개, 8개를 쓰는 경우에는 이제는 1% 수준까지도 떨어질 수 있다. 이전 세대 리소그래피 광원인 DUV의 경우, 반사율을 거의90% 이상으로 유지할 수 있다는 것을 생각하면, EUV 광원의 손실률은 큰 문제점 중 하나다. 그러나 어쨌든 지금으로서는 DBR를 활용하여 최대한 손실 없이 EUV를 모으는 것이 관건이다.

사실 DBR을 아무리 잘 만든다고 해도, 이론적인 반사율 65%는 달성하기 쉽지 않다. 라자냐를 완벽하게 만들기가 어렵듯, Mo, Si을 번갈아 가면서 쌓는 과정에서, 두께의 편차가 누적될 수 있다. 계면이 옹스트롬 수준에서 울퉁불퉁할 정도로 초정밀이라고 해도, 40층 이상 쌓으면 이제는 편차가 누적되어 나노미터 수준까지 울퉁불퉁해질 수 있다. 문제는 이 울퉁불퉁한 표면이나 계면에서 단파장의 전자기파가 깔끔하게 반사되는 것이 아니라 흡수되거나 비탄성 산란 (inelastic scattering)될 수 있다는 것이다. 비탄성 산란은 전자기파가 물질을 만났을 때 열 등으로 소실되는 주요 메커니즘 중 하나이고, 따라서 공정 엔지니어링 입장에서는 최대한 피하고 싶은 결함이다. 그렇지만, 나노미터 수준으로 표면을 평탄화하는 것도 어려운 마당에, 겨우겨우 옹스트롬 (0.1 nm) 수준에서 표면/계면을 제어한다고 해도, 40층의 DBR를 완벽하게 매끈하게 만들기는 거의 불가능하다. 거의 허블 우주망원경에 들어갔던 주 반사경보다 훨씬 정밀한 수준의 가공 능력이 필요하다. 그래서 실은 DBR의 반사율 50%를 유지하는 것도 결코 쉽지 않다. 이 경우 6개의 순차적 반사는 0.5^6 = 1.5%, 8개는 0.39% 수준까지 광원 세기가 급감한다.

결국 이전 세대 광원에 비해 광원 생성 효율이 급격히 떨어지는 것을 감수할 수 밖에 없는 것이 EUV 기술의 현실이다. 이는 소모되는 에너지 단위로 환산하면 더욱 극명하게 드러난다. 반도체 패터닝에 활용될 수 있는 최소한의 에너지 밀도를 유지하기 위해 1대의 EUV 광원 생성 과정에 얼마나 많은 전기가 필요할까? 200 W급 EUV를 기준으로, 대략 500-600 kW나 소모된다. 공장 전체가 아니다. 단 1대의 EUV 노광 장비의 광원 생성에 이 정도의 전기가 소모되는 것이다. DUV의 경우 50 kW 이하였다는 것을 생각하면, 무려 10배 이상의 에너지가 요구되는 것이다. 물론 이 마저도 최대한 낮게 잡은 것이고, 공정의 최적화와 고품질, 그리고 안정적인 생산 속도의 유지를 위해서는 1 MW까지도 소모 전력이 잡힐 수도 있다. 보통 반도체 패터닝 라인 하나에 이런 EUV 노광 장비가 적어도 10대가 들어 가야 하니, 공장 하나가 혼자 10 MW의 전기를 잡아먹을 수 있는 셈이다. 소형 화력 발전기 한 유닛의 발전 용량과 맞먹는 규모다. 이렇게 엄청난 전기를 들였음에도 불구하고 실제로 손에 건지는 것은 200 W 수준이니, 에너지 효율은 0.04%로서, 실로 처참하다고 할 정도의 수준이다. 만약 누군가 EUV와 성능은 비슷한데, 소모 에너지를 1/10 수준으로 줄여서 에너지 효율을 1%에 가깝게만 만들어도 아마 상상 이상의 대박을 칠 수 있을 것이다.

이렇게 만들어진 EUV 광원은 이미 DBR 위에 밑그림 (즉, pre-patterning absorber)이 코팅되어 있는 상태이므로, 그 광밀도 분포가 사전에 패터닝된 상태가 되고, 따라서 이제 패터닝하고자 하는 반도체 기판에 입사되면 자동적으로 패터닝이 시작된다. 물론 그 ‘밑그림’을 그리게 할 수 있는 특수한 화학 물질이 필요하며, 이 역시 기술적으로 매우 큰 장벽을 가지고 있다. (일본의 스미토모가 대표적인 ASML EUV 파트너사다.)

현재 인류가 만들어 낼 수 있는 가장 세밀하고 정밀한 반도체 패터닝은 바로 이 EUV 리소그래피 기술에 기반을 두고 있다. 13.5 nm 파장의 EUV는 이론적으로 logic 소자의 경우, 3-5 nm 급 (DRAM line-and-space pattern의 물리적 크기로 환산하면 8-10 nm 급)의 물리적 패턴을 만들어낼 수 있는 것으로 기대되고, 실제로 구현되고 있는데, 이 이론을 극한까지 밀어 부칠 수 있는 회사는 전 세계에 5개도 안 된다. 사실, 개수를 따지는 것이 ‘무의미한 수준이다’라고도 할 수 있다. 그 이유는 이 EUV 패터닝 기술을 안정적으로 상업화 수준까지 만들어서 전 세계 팹과 반도체 회사에 납품할 수 있는 회사는 네덜란드의 ASML이 거의 유일하기 때문이다. 현재 세계 EUV 시장에서 ASML의 점유율은 85~90% 수준이다. 나머지 10% 정도가 일본의 캐논, 니콘이 간신히 나눠 먹기를 하고 있으나, 이 마저도 가격 경쟁력이 없어서 니콘 같은 경우는 오히려 패터닝 기술 개발 인력을 최근에 구조조정하기도 했다. 캐논의 사정도 별반 다르지 않다. 기술적으로 가능한 수준까지 만드는 것과, 그것을 글로벌 레벨의 파운드리 업체나 반도체 회사에 신뢰도 유지하면서 납품할 수 있는 것은 전혀 다른 이야기다. 이 두 가지가 동시에 되는 초격차를 가진 회사는 현재로서는 ASML 밖에 없는 것이다.

이 때문에 업계에서는 ASML이 슈퍼’을’로 불리기도 한다. 사실상 부르는 것이 값이며, 그 마저도 수요가 폭증하고 있기 때문에 주문이 한참 밀려 있다. 삼성전자의 경우, 수백 개의 협력사 중에, ASML만 상대하는 박사급 전담팀이 따로 있을 정도라는 이야기가 업계에 돌고 있을 정도다. 현 시점에서 납품되는 ASML의 EUV 장비는 NXE:3400B인데, 이 장비 한 대의 가격은 원화로 대략 1,500억원 정도 한다. 실감이 안 나는가? 한국이 한창 배치하고 있는 대표적인 스텔스 전투기인 F-35A의 대당 가격이 대략 1,000억원이다. 여기에 옵션을 붙이면 대략 1,500억원 정도 한다. 즉, 대충 따졌을 때, EUV 노광 장비 하나가 F-35A 한 기와 맞먹는 가격인 셈이다. 그런데 반도체 라인에는 노광 장비가 한 대만 배치되지 않는다. 장치 산업의 특성상, 라인 하나에 최대한 집약적으로 장비들을 배치하여 한 배치 (batch)에서 나오는 웨이퍼의 수율 관리를 최상위 수준에서 해야 하기 때문이다. 보통 라인 하나에 10개 이상의 노광 장비가 들어 간다. 만약 라인 하나에 10 대 정도의 리소그래피 장비가 들어간다고 생각하면, 리소그래피 장비만 물경 1조 5천억원을 차지하는 것이다. 가히 반도체 산업이 왜 치킨 싸움으로 흐르면 한 쪽이 처절하게 망할 수 밖에 없는 돈놓고 돈먹기 싸움으로 흐르는지가 여실히 보이는 부분이기도 하다. (물론 원천 기술과 수율 관리 기술력은 기본적으로 뒷받침되어야 한다.) 이 마저도 ‘얼마면 돼! 얼마면 되냐고!’를 외치는 중국 기업들이 있었기 때문에, 가격은 앞으로 더 천정부지로 치솟을 수 있다. 예를 들어, 차세대 EUV 장비인 ASML의 NXE:5000의 경우, numerical aperture (NA)가 기존 장비 대비 50% 이상 증가할 것이고, 그로 인해 대당 가격은 무려 3배 이상 폭증할 것으로 보이는데, 결국 대략 4,000-5,000억원 정도 할 것으로 전망되고 있고, 따라서 라인 하나에 들어가는 노광 장비에만 투자되어야 하는 비용은 무려 최대 5조원까지도 이를 수 있다.

어쨌든 대용량의 데이터를 고속으로 안정적으로 정확하게 처리하려면, EUV에 의존하는 극초미세, 즉, 5 nm 이하 급 패터닝 기술이 필수적이니, 전 세계 반도체 회사는 앞으로 적어도 10-20년 이상은 ASML이 어떤 기술을 만들어 나가는지를 초조한 마음으로 지켜 보는 수 밖에 없다. ASML은 한 번 파트너쉽을 맺으면 꽤 오래 그것을 유지하기로 정평이 나 있는데 (대표적인 파트너쉽이 독일의 렌즈 회사 자이쯔와의 파트너쉽이다), 그 덕분에 삼성전자 같은 경우는 ASML을 수퍼을로서 인정도 하지만, ASML에 삼성전자 초미세 패터닝 공정에 특화된 옵션을 공격적으로 요구할 수 있는 수준까지 온 몇 안 되는 갑의 지위를 가지고 있다. 그리고 그 폐쇄된 클럽에 화웨이가 거의 발을 들여 놓을 수 있었다. 아니 사실 상 들여 놓은 상태였다.

문제는 작년 11월, 트럼프 정부의 대 중국 견제 정책의 일환으로, 사실상 중국 공산당 소유 기업이나 마찬가지인 화웨이에 대한 제재가 본격적으로 실행되었다는 점이다. 지난 6월에는 미국 연방통신위원회 (FCC)가 화웨이를 미국 국가안보에 대한 위협으로 ‘공식 지정’했다. 이로 인해, 미국의 반도체 소재, 공정, 장비 기업들은 화웨이를 포함한 중국의 주요 반도체 업체로의 수출이 미국 연방법에 의거하여 원천 금지되었다. 네덜란드 기업인 ASML은 이 정책을 피해 갈 수 있을 것처럼 보이지만, 900-1,000여 개에 달하는 EUV 장비의 부품 중, 대략 20-30% 정도가 미국에 있는 공장에서 만들어지고 있기 때문에, 사실상 ASML도 대중국 노광 장비 수출이 제한되었다. 화웨이 입장에서는 제재 전에 들여 온 장비가 사실상 마지막인 셈이다. 이것으로 앞으로 1-2년은 버틸 수 있겠지만, 급변하는 세계 반도체 기술 시장에서, 2년 이상의 격차는 곧바로 거의 따라 잡을 수 없는 초격차로 이어진다. 돈다발을 아무리 싸 들고 사정을 해도, 시장이 열리지 않으니 중국 반도체 기업 입장에서는 반도체 공정에서 가장 중요한 패터닝에 대한 자국 기술 개발에 사활을 걸 수 밖에 없을 것이다.

며칠 전 중국 정부는 점점 조여 오는 미국의 대중국 제재 국면에 맞서, 첨단 기술의 자립을 공식적으로 천명하였고, 그 프로젝트를 ‘난니완(南泥湾)‘ 프로젝트라고 명명하였다. 중국의 30-40년대 항일전쟁 당시, 중국 동부 산시성 난니완 협곡 지역에서 중국 공산당 팔로군이 일본군을 상대로 험한 산지를 개간하면서 장기간 게릴라전으로 항전하며 버텼던 역사를 기려, 아마도 난니완이라는 지명을 프로젝트 명으로 차용한 것으로 보인다. 그만큼 중국은 미국의 대 중국 첨단 기술 제재에 대해 자국 주도의 기술 개발 의지를 임전무퇴, 결사항전의 각오로 천명한 것이라고도 볼 수 있다.

문제는 이 10 nm 이하급, 향후, 5 nm 이하급 극초미세 패터닝 기술이 그렇게 정부 차원의 머리띠 두른 결사항전 의지와 수십 조 규모의 돈만 있다고 달성할 수 있는 수준의 것이 아니라는 것이다. 앞서 잠깐 설명했지만, 단순히 광원을 만드는 과정부터가 굉장한 기술적 난이도를 보이고 있으며, 광원을 유도하는 반사경 제작 역시 극심한 기술적 난이도를 자랑한다. ASML은 이 부분에 대해 이미 유럽 내 수십 개의 파트너사와 수십 년의 역사를 자랑하는 굳건한 파트너쉽, 기술적 생태계를 구축하고 있고, 각 파트너사는 업력이 수십 년에서 길게는 수백 년까지도 거슬러 올라가는 경우가 태반이다. 안정적인 기초 연구개발, 선행 연구에서 비롯되는 기술의 레거시, 그리고 기술에 대한 신뢰 관계가 없으면 절대 형성될 수 없는 뿌리 깊은 기술 기반이 필요한 것이다. 문제는 중국 정부가 이 극초미세 패터닝 기술 역시, 몇 년 전 LCD케이스처럼 외국의 기술을 사오거나 (혹은 외국 기업의 특허를 무시하고 심지어는 합작사의 IP를 강탈까지 하고), 외국 엔지니어들을 시한부로 엑기스만 뽑아 내는 방식으로 돈만 있으면 해결할 수 있다고 믿는 것처럼 보인다는 것이다. 중국 정부가 인내심을 가지고 ASML이 그랬던 것처럼 수십 년에 걸쳐 이런 류의 기술적 생태계를 (그것도 사기업들이 자발적으로 이룬) 이룰 수 있을 것인지도 의문이지만, 그렇게 장기간에 걸쳐 존버하면서 기술적 생태계를 이루는 동안 다른 나라의 반도체 기업들, 소재/장비 기업들은 가만히 있을 리도 없다는 것은 명약관화하다.

중국 공산당 정부 입장에서는 어쨌든 자국에서의 ICT 산업을 지속적으로 국가 기간 산업으로서라도 반드시 육성해야 하고, 그를 위해서는 수단과 방법을 가리지 않고서라도 자국에서 독자적으로 만든 기술이 필요하기는 할 것이다. 문제는 그렇게 만들어진 반도체 패터닝 기술은 그 최대 수준이 EUV는 커녕, DUV를 넘는 것 조차도 어려울 것이라는 점, 따라서 가격 경쟁력은 물론, 기술적 경쟁력도 한참 선진 수준에 뒤떨어질 것이라는 점이다. 그렇지만 어쨌든 중국 내수만으로도 웬만한 기업들은 수익이 유지 가능하고, 어차피 만들어 봐야 미국의 제재 때문에 주요 국가로는 수출도 안 되니, 아예 독자적 로드맵과 규격을 채택할 가능성도 충분히 있다. 이 경우, 결국 중국은 거대한 갈라파고스처럼 될 가능성이 있다. 일본의 전자회사들이 한참 잘 나가다가 몇 번의 표준화 삽질 끝에 결국 갈라파고스가 되어 많은 회사들이 망하거나 인수합병된 사례가 중국의 반도체 업계에서도 재현될 수 있는 것이다. 다만 그 내부에서 제로섬 게임으로 자국의 경계로 그 영향이 반영되지 않을 것이라는 점이 차이점이다.

세계 경제가 본격적으로 글로벌화된 이후, 지금까지 기술이나 시장의 갈라파고스화는 대부분 망하는 방향으로 결론이 나오고 있다. 초기의 상태가 아무리 선진적이었다고 해도, 시장 규모가 제일 컸다고 해도, 기술 흐름의 대세를 놓치거나 무시하고, 독자적 표준만 밀어 부치며, 가격 경쟁력을 국가가 관여하는 방식으로 조작하거나 우회하려 했던 대부분의 기술 개발 및 상업화 사례들은 결국 갈라파고스화의 경로에서 자유롭지 못했으며, 누적된 재정적자를 감당하지 못 한 나머지, 정부가 백기를 드는 순간, 인공호흡기를 뗄 수 밖에 없는 운명에 처하는 식이었다. 중국의 반도체 산업이 이렇게 흘러갈지 여부는 아무도 모른다. 그렇지만 미국의 대 중국 첨단 기술 품목 수출 제재가, 비단 트럼프 정권 뿐만 아니라, 차기 미국 정권이 바뀌는 것과 상관 없이, 아마 초당적인 기조로 계속 유지될 것임을 고려컨대, 아마도 앞으로 꽤 오래 제재가 유지될 것이고, 이로 인해 중국은 자의반 타의반으로 독자 기술 규격과 로드맵을 천명하여 독자 노선을 이끌고 갈 가능성이 높다. 아마 이 과정에서 중국 시진핑 정부가 오랫동안 공들였던 일대일로의 유효성도 확인하게 될 것이다. 단순히 산업 기반 시설만 투자하는 것이 아니라, 중국 표준 규격, 로드맵에 편입될 것인지를 일대일로에 참여한 나라들에게 선택을 강요하게 할 가능성이 높다. 차관 혜택을 유리하게 해 주는 대신, 기술 규격을 중국의 것으로 채택하게끔 강요할 가능성이 표면 위로 나올 것이라 생각한다.

어떻게 흘러 가든, 다음 세대의 반도체 로드맵은 두 평행 세계가 공존하는 방식이 될 가능성이 높으며, 이렇게 규격이 갈라지기 시작하면, 처음에는 비슷한듯 보였던 기술들도 결국 점점 그 메커니즘이 갈라지기 시작할 것이다. 마치 섬에 고립된 새가 세대가 지나면서 그 섬에만 있는 고유한 부리를 갖는 종으로 진화하는 것처럼, 중국 (+친 중국 국가들) vs 나머지 세계의 구도로 기술도 각자의 길로 진화를 거듭할 것이다. 세대가 지날수록 이제 이 차이는 마치 종간 번식이 거의 불가능한 수준에 이르는 것처럼, 기술적으로 완전히 달라진 호환 불가능한 시스템이 될 가능성이 높으며, 거칠게 말하자면 철기시대와 석기시대가 공존하는 모양새와 비슷한 양태가 될 것이다. 왜냐하면 이는 반도체 분야의 표준과 로드맵뿐만 아니라, 반도체가 산업의 쌀로서 활용되는 거의 산업 전 분야로까지 확대될 수 밖에 없는 산업적 연결 고리를 끊을 수 없기 때문이다.

개인적인 생각으로는, 그렇게까지 극단적으로 (즉, 전혀 호환이 안 될 정도로) 기술적 분기가 심화되는 수준으로까지 이 상황이 수십 년 간 계속 흘러 갈 것으로는 생각하지는 않는다. 그러나 누가 알겠는가? 미국이 마음 먹고 2인자를 죽이려고 들면 얼마나 철저하게 죽일 수 있는지, 우리는 지난 100년 간 2차대전 시기 나치 독일, 냉전 시기 소련, 버블 시기 일본의 케이스, 그리고 21세기 중국의 케이스에서 계속 관찰해 오고 있지 않은가? 중국이 과연 이 상황을 어떻게 타개할 것인지 전문가들이 다양한 전망을 내놓고 있지만, 결국 그 나라의 경쟁력은 기술적 혁신과, 그를 뒷받침하는 기초 과학 연구의 저변과 역사에서 나온다는 단순한 원리를 고려하면, 독자 노선을 택하게 될 중국은 중단기적으로는 엄청난 손해를 볼 수 밖에 없을 것이다. 다만, 그 시기를 넘길 수 있는 정치적 안정성과 체력이 있다면 장기적으로는 오히려 표준과 로드맵을 자국으로 다시 되찾아 올 가능성이 없지는 않다. 그 기준이 정확히 언제쯤인지 예측하기 어렵지만, 적어도 두 세대 (50-60년) 정도에서 그 윤곽이 드러나지 않을까 생각한다.

다만 상황은 중국에게, 더 정확히는 중국 공산당 정부에게 녹록치 않다. 이미 의식주 수준과 정보 습득력이 거의 선진국에 근접하고 있는 중국 인민들, 특히 젊은이들의 상황을 생각하면, 과연 15억 인민들이 이렇게 오랫동안, 이른바 기술적 ‘보릿고개’를 별 불만 없이 버틸 수 있을지는 미지수이기 때문이다. 격하게 표현하자면 혁명이 일어나도 몇 번은 일어날 수 있는 긴 시간인 것이다. 정보의 가치와 생활의 편리함을 맛 본 사람은 다시 그 이전으로 돌아 가기 거의 불가능하다는 단순한 사실은 중국 인민들이라고 해서 예외가 될 수는 없다.

미국과 중국의 패권 다툼은 결국 바이오, ICT, 그리고 양자컴퓨터 같은 다양한 기술을 공통적으로 아우르는 대용량 데이터의 고속, 저전력, 초정밀 처리 기술의 혁신 싸움이고, 한국은 이 G2 거인들의 기술 혁신 싸움에서 어떤 표준과 어떤 로드맵을 구상할 것인지 매 순간 기술적 추이를 주의 깊게 모니터링해야 한다. 이미 한국 제조업, 특히 첨단 산업의 현 기술 체계와 로드맵은 미국에 거의 예속된 상황이나 마찬가지이지만, 그럼에도 불구하고, 분기되어 나갈 중국의 표준과 로드맵에 대한 모니터링을 게을리하면 안 된다. 이것은 굳이 손자병법을 들먹이지 않더라도 당연히 알 수 있는 부분이다. 그리고 어떤 상황에서든, 그 당시의 속칭 ‘일타’ 첨단 기술에 대한 엣지를 반드시 복수로 가지고 있어야 한다. 즉, ASML처럼 아쉬운 사람이 먼저 찾아 가 읍소할 수 있는, 그런 '수퍼을'의 지위를 국가 차원에서도 반드시 전략적으로 확보하고 있어야 하는 것이다.

다만, ASML이 하루아침에 그러한 기술적 경쟁력을 갖추게 된 것이 아닌 것처럼, 우리나라 역시 기술적 생태계의 심층을 이루는 기초 과학 투자를 더 다양하게 더 깊게 더 장기적으로 해야 함을 잊으면 안 된다. 이를 제때 제대로 하지 못 해, 불행하게도 ‘수퍼을’이 아닌 ‘그냥 평범한 을’이 되는 순간, 주도권을 상실하고 기술적 종속 신세를 면치 못 하며, 이는 나아가 나라 전체의 경쟁력, 나아가 국력과 국체의 지속 가능성에까지 영향을 미치게 될 것이다. 내외부적인 환경의 불확실성과 변동성이 점점 누적될 21세기 중후반부로 갈수록, 순식간에 을도 아닌, 병, 정의 신세로 급격하게 나라의 위상이 급변할 수 있는 가능성도 점점 높아질 수 있다는 것을, G2 사이에 있는 한국은 더더욱 잊으면 안 된다.

통합규정 1.3 이용안내 인용

"Pgr은 '명문화된 삭제규정'이 반드시 필요하지 않은 분을 환영합니다.
법 없이도 사는 사람, 남에게 상처를 주지 않으면서 같이 이야기 나눌 수 있는 분이면 좋겠습니다."
이과감성
20/08/30 06:53
수정 아이콘
재밌게 잘 읽었습니다.

궁금한게 있는데요,
업계가 잘 돌아가려면
대학에서의 기초기술 연구 및 인력 양성과 산업현장에서의 고도화 및 연구인력 재배치가 잘 이루어져야 될 것 같은데요

국내에서 13.5나노급 엔지니어링을 하는 인력양성은 어떻게 되고 있는지 혹은 원리 이해 후에 공정 최적화만(아마 글쓴분이 써주신 써주신 dbr 개선) 파트파트 하고 엔지니어가 수행하는 정도라 필요가 없는지 궁금합니다

그리고 회사에서 바라보기에는 혹은 반도체 분야에서는 학계에서의 연구과제 파이싸움, 나눠먹기 등에 대하여 경쟁적으로 이루어지는지 혹은 최신기술에대한 선도가 적절히 이루어지고 있다고 판단하는지 궁금합니다

마지막으로, 아마 거의없겠지만, 산업계에서 바라보는 정부 출연연구기관의 역할이 궁금합니다
20/08/30 11:20
수정 아이콘
재밌게 읽어 주셔서 감사합니다. 13.5 나노급 패터닝 공정에는 물론 노광 장비만 들어가는 것은 아니고, 포토레지스트 개발, 증착, 얼라이너, 패키징, 웨이퍼까지, 여러 기반 기술이 같이 들어가야 합니다. 물론 EUV에 특화된 극초미세 패터닝에 대해서는 아직까지는 한국이 삼성전자를 제외하고는 이렇다할 기술을 가지고 있는 것은 아니고요. 오히려 정부출연기관 등은 신개념 차세대 반도체 기술을 연구하는 쪽으로 투자를 하는 것이 맞다고 봅니다. EUV 같은 기술은 최첨단이긴 하지만 결국 끝이 보이는 기술이죠. 1 nm 이하의 선폭으로 가기 시작하면, 결국 현재 개념의 전자공학 기술이 잘 작동한다고 보기 어려울 테니까요. 뉴로모틱, 양자컴퓨팅, 스핀트로닉스, 광컴퓨터 등의 아예 새로운 개념의 컴퓨팅 하드웨어 기술을 연구하는 것이 중요할 것 같습니다. 이쪽 부분은 공정 최적화는 언감생심이고, 아직 기초과학 측면, 특히 물리학적으로 연구해야 할 문제가 산적해 있습니다.
20/08/30 07:32
수정 아이콘
중국과 미국의 반도체 규격이 완전히 갈릴 때
중국의 기술 편에 따라갈 나라가 있긴 할까요?
서방세계를 등지고 중국을 따르면서 얻을게 더 많은 나라가
북한 말고 어떤 나라가 있을까요?
20/08/30 11:32
수정 아이콘
본문에서 말씀드렸듯, 대규모 차관으로 인질 잡고 있는 몇몇 국가들은 울며겨자먹기로 동참할 수도 있다고 봅니다. 그리고 세계 정세가 어떻게 흘러갈지 모르겠지만, 러시아도 게임을 관망하다가 플레이어로 참여할 수 있겠죠. 다만 중국보다 1 인당 GDP가 높은 나라가 없을 것이라는 점이 함정입니다. 북한이야 큰 의미 없는 시장이고, 그나마 천연자원 혹은 무역항으로 비벼볼만한 나라들이 일대일로 참여 국가들이었는데, 막상 이들 나라에서는 반도체 수요가 별로 높지 않죠.
잠만보
20/08/30 07:49
수정 아이콘
(수정됨) 전에 반도체 제조과정 요약 동영상을 봐서인지

비전공자이지민 작성자님의 설명이 꽤 잘 이해가 갑니다

노광장비라는게 전량 수입인건 알고 있었는데 사실상 한 회사에서 독점 판매하는줄은 몰랐고,

본문을 보다보니 중국이 반도체 분야를 따라잡는건 자본, 인력을 갈아도 쉽지 않겠네요

좋은 글 감사합니다
가라한
20/08/30 09:42
수정 아이콘
반도체 초기에는 니콘, 캐논 등 일본 광학 회사들이 시장을 선점하고 있었으나 90년대 부터 ASML이 등장하기 시작하였고 이후 압도적인 기술력으로 70% 정도 시장을 가져간지 오래 되었습니다.
이 후 천문학적인 투자가 필요한 EUV로 넘어 오면서는 니콘, 캐논은 사실상 포기한 걸로 알고 있습니다. 본문의 EUV 10% 시장 점유율 얘기는 어떻게 나온건지 모르겠네요. 아무튼 EUV에서는 ASML이 독점이라 보시면 됩니다.
20/08/30 11:34
수정 아이콘
기술 난이도가 넘사벽이서 참 어려운 부분입니다. 중국이야 돈은 넘쳐나니까, 100조든, 1000조든, 달라는대로 다 쥐어 주고 아예 ASML을 사버리고도 싶겠지만, ASML만 보는 것은 빙산의 일각만 보고 빙산을 들어 올릴려고 하는 격이죠. 결국 제재가 장기화되면 독자 기술 노선을 울며겨자먹기로 개척해야 할 것으로 보입니다.
잠만보
20/08/30 13:07
수정 아이콘
(수정됨) 확실히 cheme님 말씀대로 중국이 따라잡는 것보다는 돈으로 ASML을 사 버리는게 백배 빠르겠네요 흐흐

문제는 미국 등 다른 강대국이 이걸 절대로 용납하지 않겠죠

https://n.news.naver.com/article/014/0004471978?cds=news_my

얼마 전에 중국에서 중국 ARM을 가져가버린 사건도 있어서 더더욱요

그리고 90년대 이후 중국이 IP 무시하면서 큰 거 전세계가 알고 있고,

중국 시장 개방 등을 이유로 오바마 시절까지 중국 성장을 사실상 방치한 결과가 지금의 중국의 행동이니 지금부터는 트럼프가 아니더라도 미국이 절대로 중국을 가만히 두진 않을 껍니다

전에 어떤 글을 보니 미국은 예전부터 믹구 GDP의 40%가 넘는 국가가 탄생하면 다양한 방법으로 찍어 눌렀는데(소련, 일본 등)

오바마 정부의 최대 실책 중 하나가 저 타이밍을 넘어서도록 중국에게 사실상 제제를 하지 않은 거였고 그 대가를 지금 전세계가 같이 분담 중이죠
20/08/30 13:10
수정 아이콘
중국이 발톱을 좀 감추고, 미국이 원하는 방향으로 국제적 합의 잘 지키고 약속 잘 이행하고 컨벤션 얌전히 잘 따랐다면 어떻게 되었을지 모르죠. 그런데 만만디라는 그들의 속성과는 달리, 너무 급하게 기술을 자기것으로 만드려 하다 보니, 합자회사들의 IP를 우습게 생각해 버렸고, 결국 이는 장기적으로는 그들의 발목을 잡는 형국이 되었죠. 외국의 직접 투자도 줄고 있고, 그나마 있던 회사들도 단물 빠지니 탈중국하고 있으며, 기술 협력도 기꺼이 하겠다는 글로벌 기업들이 별로 없으니까요. 있더라도 결국 미국 제재에 걸리니 할 수도 없겠죠.
잠만보
20/08/30 13:16
수정 아이콘
(수정됨) 말씀하신대로 중국은 덩샤오핑의 도광양회 발언에 따라 최소 2025년 까지 발톱을 숨겼으면

아직도 미국 포함 전 세계 국가가 끓는 물의 개구리처럼 중국 자본에 잠식되어 돌이킬 수 없을 뻔 했는데

시진핑이 2010년 초반에 본인의 독재를 위해 발톱을 드러내면서 본인은 독재자가 되었지만 중국이라는 나라 입장에선 더 좋은 기회를 스스로 날려버린 셈이 되엇죠

한국도 사드 이전 까지는 계속 중국 의존도가 무한정 높아졌는데 사드 덕분에(?) 중국의 정책을 뼈저리게 느끼게 되었고

이미 점령당한 파이는 어쩔 수 없지만 절반 이상은 넘어가지 않게 되어서 결과적으로 전화위복이 되었다고 봅니다

아직도 유럽의 많은 국가들은 중국 맛을 덜 봐서 정신 못차리고 있는거 같지만요 :)

한국 입장에서는 어찌됐든 바로 옆나라가 G1이 되면 좋을 것이 하나도 없고, 중국의 행보를 보면 지금처럼 작두타기 외교를 하되, 실질적으로는 미국 편에 서야 살아남을 수 있다고 봅니다

그런 면에서 일본은 아예 대놓고 친미를 가버려서 제 관점에선 참 무모하면서도 대담한거 같습니다

그리고 무력으로는 절대로 중국이 미국을 능가할 수가 없기 때문에 (태평양 함대만 모아도 무력으로 중국 이기는 건 시간 문제죠)

발톱을 더 숨긴 채 계속 자본으로 잠식하는 전략을 취했어야 했는데 이미 다 뽀록이 나버려서 이젠 중국도 미국의 철퇴를 맞을 수 밖에 없다고 봅니다
20/08/30 13:22
수정 아이콘
동의합니다. 물론 국제 정세에서 '절대'란 개념은 있을 수 없고, 국제 정치 역시 인간이 관여되는 생물 같은 것이라, 밑에 아리쑤리랑 님도 말씀하셨듯, 언제 어떻게 어떤 이벤트로 또 급류에 휩쓸릴지 모르겠습니다. 그럼에도 불구하고, 어떤 급류에 휩쓸리듯, 일단 헤엄치는 방법, 잠수하는 방법, 물을 두려워하지 않는 정신력과, 무엇보다 체력을 길러 놔야겠죠. 그래야 다시 육지를 찾았을 때 살아 나올 수 있을테니까요.
오렌지꽃
20/08/30 14:31
수정 아이콘
대체 어디서 이상한 낭설들을 들으신지 모르겠는데
덩샤오핑의 유언은 향후 100년간 미국과의 대결을 피하라고 한 것이며
덩샤오핑이 추산한 중국의 미래 gdp는 2050년 5000불 수준입니다.

즉 현재의 중국은 덩샤오핑의 계산을 초과달한지 한참 오래이며 (2019년 1만불 돌파. 인플레 고려해도 결과는 같음)
이미 중국의 국력이나 영향력에 대해 전세계 사람 모두가 알고있는데 대체 어떻게 발톱을 숨깁니까?
코끼리보고 쥐구멍에 들어가라는것도 아니구요. 사람들은 바보가 아닙니다.
잠만보
20/08/30 14:34
수정 아이콘
물론 덩샤오핑의 예상보다 중국이 초과 발전한 건 맞지만

저 말의 본질적인 의미는 결국 미국과 최소한 비등해 질 떄 까지는 덤비지 말라는 뜻이었죠

그리고 제가 위에서 말한 발톱의 의미는 지금처럼 노골적으로 중국이 미국에서 붙자고 시비거는 행동을 하지 말고

최대한 미국에 우호적인 척 하면서 이득을 쭈욱 뽑아먹으면서 계속 성장했어야 한다는 뜻입니다

실제로 오바마 정부까지는 중국이 그렇게 성장해도 실질적인 태클을 걸지 않았죠

그런데 시진핑 등장 이후부턴 너무 노골적인 행동을 하고, 미국에게도 국제 제제의 명분을 줘 버려서 한 얘기입니다
오렌지꽃
20/08/30 15:31
수정 아이콘
미국을 너무 무르게 보시는것같습니다.
중국이 미국과 비등해질때까지 덤비지 않는다고 미국이 가만히 있나요? 중국의 의도대로 적당히 속아주기라도 해야되나요?

엄밀히 말하자면 지금도 중국은 미국에게 덤빈적이 없습니다. 미국이 폭주하는것에 가깝죠
시진핑 등장 이후의 노골적인 행동이라는것도 사실 시진핑 이전부터 해오던것의 연장선에 불과하구요
잠만보
20/08/30 15:51
수정 아이콘
(수정됨) 일대일로, 5G 기기를 통한 제어, 각종 IT 기기 해킹 툴 삽입, 중국을 대표로 한 동맹 체결 등등

이미 많은 증거가 있는데 중국이 미국에게 덤빈 적이 없다고 생각하는 오렌지꽃님 생각이 좀 이해하기 힘드네요

오렌지꽃님 말씀대로 오바마 시절에 중국에게 무르게 대하지 않고 강하게 제제했다면 중국이 지금 이만큼 크지도 못했고, 미국이 지금보다 좀 더 쉽게 중국을 제어했겠죠
가라한
20/08/30 15:12
수정 아이콘
ASML도 작은 회사가 아니고 일반인들은 잘 몰라도 네덜란드에서 필립스 따위야 하는 전략 기업인데 판매는 있을 수 없는 일입니다.
20/08/30 09:22
수정 아이콘
좋은글 감사합니다
20/08/30 11:33
수정 아이콘
읽어 주셔서 감사합니다.^^
양념반후라이
20/08/30 09:51
수정 아이콘
무식하고 극단적인 징문인데요.
어느날 갑자기 게임에서처럼 네덜란드하고 한국이 적대관계가 되서
ASML의 노광장비의 한국 수출이 금지된다면 삼성 반도체 사업부는 망하는 건가요 ?
몽키매직
20/08/30 09:58
수정 아이콘
메모리나 낸드 같이 삼성이 잘나가는 부문은 euv 를 써야하는 미세공정이 아직까지는 필요하지 않아서 타격은 있지만 망하지는 않을 거고요... 삼성은 미세공정도 그렇고 파운드리도 그렇고 이제 진입하는 입장이라서 거금을 들여 투자를 시작한 신사업 하나를 날려먹는거라 보시면 됩니다. 기존 사업은 사실 이미 수입한 euv 장비로 돌리는데 당분간은 문제 없을 겁니다.
20/08/30 11:36
수정 아이콘
사실 지금 화웨이 상황이 딱 이렇습니다. 기존 장비들과 라인들로 1-2년은 버티는데, 이미 부지 확보하고 공사하고 있던 차세대 라인들은 다 버리게 생겼죠.
닉네임을바꾸다
20/08/30 10:03
수정 아이콘
TSMC는 노나겠군요...크크
20/08/30 11:35
수정 아이콘
이론적으로는 당장 망하지는 않겠지만, 점점 세계 기술 표준에서 밀려나고, 로드맵 상에서도 그 존재감이 사라지면서 결국 회사가 2류로 전락하겠죠. 한 두 세대씩 앞서가던 선도 회사에서, 두 세 세대씩 뒤로 밀리는 후발 주자로 전락할 것입니다.
VictoryFood
20/08/30 13:47
수정 아이콘
삼성 반도체가 한국을 뜨는 것으로 해결하겠죠.
가라한
20/08/30 15:13
수정 아이콘
ASML 뿐 아니라 일반적으로 대부분 글로벌 장비 업체 매출의 30-40%가 한국에서 나옵니다. 삼성은 이 바닥에서 알아주는 제1 고객이구요. 물건을 안 팔 이유가 없죠.
닉네임을바꾸다
20/08/30 10:05
수정 아이콘
저 EUV를 필요해서 사거나 살 업체가 전세계에서 TSMC 삼성 인텔정도려나...
20/08/30 11:30
수정 아이콘
사실상 독점적 공생관계입니다. 일단 장비 가격이 너무너무 비싸요. 패터닝 공정 개발하고 싶어도 돈 문제로 상상만 해 보는 회사들 천지입니다. 그냥 대기업들이 쓰다가 버린 몇 세대 전 포토리쏘 장비라도 어떻게 업어와 볼까 생각하는 회사들 널렸습니다.크크크
체르마트
20/08/30 12:02
수정 아이콘
인텔도 포기입니다.
TSMC 랑 삼성만 남았지요. 사실상.
닉네임을바꾸다
20/08/30 12:07
수정 아이콘
21년에 예정으로 알고 있었는데 7나노가 순연되었다해도 그 순연된 시기에 맞춰서는 도입하지 않을까요?
체르마트
20/08/30 12:35
수정 아이콘
이 동네가 한번 기술 수준에서 밀리면 따라잡기거 불가능에 가까운지라...

21년까지는 한다 안한다 저도 말 못하겠습니다만
(개인적으로는) 그 뒤로는 안 한다고 일단은 보고 있습니다.
20/08/30 10:21
수정 아이콘
재미있게 잘 읽었습니다. 정치글인줄알고 클릭했는데 의외의 꿀잼크크
20/08/30 11:30
수정 아이콘
재미있게 읽어 주셔서 감사합니다. 보는 이에 따라서는 후반부는 중국에 관한 정치글입니다? 크크크
CapitalismHO
20/08/30 10:21
수정 아이콘
좋은글 감사합니다. 개인적으로 LGD가 LCD가격하락으로 휘청이는걸 봐서 중국의 반도체굴기를 굉장히 걱정하는 입장이었는데 이 글을보니 조금은 안심이 됩니다. 다만 규제만 없다면 중국이 제2의 ASML을 만들긴 어려워도 제2의 삼성을 만드는건 그렇게 어렵지 않다는 생각이 드는게 두렵네요.
SkyClouD
20/08/30 10:25
수정 아이콘
제 2의 삼성도 매우 어렵습니다. 만들기 위한 원천기술이 있어도 그걸로 설계와 생산을 어떻게 하는가는 또 별개의 문제라서...
독수리의습격
20/08/30 10:50
수정 아이콘
일본이 원천기술은 있어도 제품 양산기술에서 밀려 결국 반도체에서 도태된걸 보면 제조업에서 원천기술만큼이나 중요한게 양산기술입니다. 삼성과 LG가 그 악바리 근성으로 세계 넘버 원을 먹었던 이유가 저거 때문이죠. 그나마 디스플레이는 중국처럼 품질과 가격을 등가시켜서 점유율 확보->양산기술 축적->하이테크 제품 생산 루트를 타는게 가능했는데, 반도체는 디스플레이와 비슷하면서도 다른 부분이 품질과 가격을 등가시키기가 디스플레이보다 훨씬 어렵다는 점이죠. 중국은 일단 저 위 루트 중 첫 단계부터가 막힌 상황입니다.

그래서 중국도 막대한 돈을 들여서 1번을 최대한 우회하려고 하는데 미국이 딱 거기에 태클을 걸었던거죠.
CapitalismHO
20/08/30 11:24
수정 아이콘
반도체 산업(양산)은 70년대는 미국이 절대자였고 80~90에는 일본이 먹었던게 21세기 들어 한국이 왕좌를 차지했죠. '어렵지 않다'고 적긴 했지만 쉽다라기 보다는 충분히 가능한 일이고 경계해야한다는 뉘앙스로 말하고 싶었습니다. 기술은 위에서 아래로 흐르기 마련이고 한국도 후발주자로서 성공했는데 중국이라고 못한다고 봐서는 안되겠죠.
20/08/30 11:29
수정 아이콘
본문에서도 말씀드렸듯, 언제든 중국이 새로운 기술 표준을 선도하는 나라가 될 수도 있습니다. 완전히 다른 개념의 혁신이 나오지 말라는 법은 없으니까요. 그런데 어쨌든 인류가 지금까지 극한으로 밀어부쳐 본 하드웨어 제작 기술과는 넘사벽이니, 당분간은 어렵지 않을까 생각합니다. 한국이 후발주자로 성공할 수 있었던 까닭은 미국이 주도했던 서구 중심의 supply chain에 한국이 말석이라도 차지할 수 있게끔 미국이 허락해 줬기 때문인 것이 굉장히 중요했던 포인트입니다. 그저 제 3세계로 수출입 시장의 말단을 차지하는 것만으로도 일단 기술 표준 세계에 빨리 진입할 수 있었고, 운 좋게도 초창치 반도체 산업의 중흥을 일으킨 세대들이 당시 한국에서 가장 머리가 좋은 축에 속했던 전자공학 1세대 유학파들이었죠.
CapitalismHO
20/08/30 11:36
수정 아이콘
넵.. 그래서 저도 원 댓글에 '규제만 없다면' 이라는 단서를 달았습니다. 사실 중국도 미국이 주도한 가치사슬아래에서 급속도로 성장했고요. 미중무역분쟁이 한국에게는 참 복잡한 현상인것 같습니다. 당장 한국의 수출 상당부분을 책임지는 중국이 휘청이는건 매우 부정적인데 미래 경쟁자라는 측면에선 중국에게 규제가 있는 편이 유리하죠. 여러모로 격변하는 시대라 한치앞을 모르겠습니다.
20/08/30 11:40
수정 아이콘
그렇습니다. 중국도 눈치껏 등소평이 이야기했던 것처럼 적어도 50년은 미국 시스템 하에서 도광양회했어야 했는데, 너무 일찍 자신감을 대놓고 드러내 버렸죠. 미국이 2인자 크는 거 싫어한다는 것을 잘 알면서도 그런 것을 보면 중국도 어지간히 급했나 봅니다. 각설하고요, 말씀처럼, 지리적으로는 중국에 딱 붙어 있고, 기술적으로나 정치적으로는 아직까지는 미국에 더 쏠려 있는 한국 입장에서는 참 쉽지 않은 상황입니다. 중국이든, 미국이든 GDP의 2/3를 무역에 의존하는 제조업 국가인 한국 입장에서는, 어느 한쪽만 편들기도 어렵고, 어느 한쪽 시장을 포기하기도 어렵죠. 수출도 그렇지만, 수입 같은 경우는 미국이 마음 먹고 제재하면 한국 같은 나라는 1년도 못 버틸 것입니다. 약제, 천연자원, 지적재산권 사용, 인력 교류, 투자 회수 등, 미국이 쓸 수 있는 카드는 무궁무진하죠. 미국과 직접적인 이해관계가 있는 나라들은 한국 뿐만 아니라 어느 나라든 미국 눈치 보면서 미국이 주도하는 대 중국 제재 포위 작전에 발이라도 담가야 미국 눈 밖에 안 날 것이라는 사실이 좀 서글프기도 합니다. 미국의 대담한 힘의 논리를 앞세운 파워 게임은 앞으로 한 세대 이상은 지속될 것 같습니다.
20/08/30 11:26
수정 아이콘
그렇습니다. 반도체 초미세 패터닝 공정이 사실상 바틀넥이기 때문에, 장비 업체들의 수출을 목죈 것이죠. 산업 생태계를 정확히 파악하고 있었기 때문에, 언제든 이 카드를 쓸 것이라고 업계 사람들은 예상하고 있었습니다.
잠만보
20/08/30 13:20
수정 아이콘
그러고보니 cheme님이 보시기에 OLED 시장은 어떻게 될 꺼라고 예상하시나요?

전에 OLED도 반도체처럼 바틀넥 공정이 있어서 쉽게 못따라 잡을 꺼라는 인터뷰도 봤었고,

그 인터뷰 밑에 OLED는 돈과 인력을 갈면 따라잡을 수 있다는 얘기를 들었거든요
20/08/30 13:24
수정 아이콘
OLED도 분명 장벽이 높은 기술이고, 소재와 공정이 모두 알려져 있다고 하더라도, 그것을 채산성 맞게 대량 생산하는 것은 또 다른 기술입니다. OLED쪽은 공정 난이도 보다는 소재 수율과 결함 관리가 관건이라, 후공정이 더 중요한데, 그 부분은 이쪽 업력이 오래된 엔지니어들을 대량 채용하는 것으로 중국이 그 장벽을 넘으려 시도는 하고 있습니다. 다만 아직까지는 투자한 금액 이상으로 수익이 나는 상태는 아니고, 아마 수출도 힘들 것 같아요. 다만, 내수용이라면 가격이든 품질이든 일단 상관 없이 애국 마케팅으로 버티긴 버틸텐데, 그게 웃긴에, 자국 정부가 투자한 금액이 다시 자국 내에서만 돌아서, 부가가치가 없는 것이나 마찬가지 효과거든요. 물론 그 과정에서 기술 노하우는 쌓이고 또 새로운 개념의 기술도 나올 수도 있긴 하겠죠.
20/08/30 10:29
수정 아이콘
좋은 글 감사합니다. 이거에 더해 질문이 하나 있는데 지난 주에 tsmc가 2nm 로드맵에 대해 발표했더라구요.
https://www.google.com/amp/s/www.hankyung.com/economy/amp/2020082693901
그래서 궁금한건 duv처럼 euv공정에서도 나노가 줄어들수록 멀티 패터닝으로 효율을 높이게 될까요? 아니면 euv 제어 기술의 발달로 이루게 될까요?
10나노급에서 멈춰있던게 꽤 오래전이었는데 갑자기 급발진하는 기술을 보고 있자니 경이롭네요.
20/08/30 11:21
수정 아이콘
둘 다로 갈 것으로 봅니다. 일단 단위 면적 당 광원 에너지 밀도를 높이는 방식으로 수율 높이기, 배치당 웨이퍼 생산 개수 높이기로도 갈 것이고, 한편으로는 충분히 정밀성이 담보된다면 더블, 트리플, 쿼드러플 등 멀티 패터닝으로도 갈 것입니다. 그과 더불어 차세대 EUV 기술도 로드맵에는 있구요,그 와중에 이전 세대 장비들의 개선도 동시에 이뤄집니다. 똑같은 장비인데, numerical aperture를 늘림으로써 해상도를 더 개선하는 것도 그러한 방편의 일환이죠.
가라한
20/08/30 15:15
수정 아이콘
멀티 패터닝은 euv 보다 효율이 한 참 떨어지는 기술입니다. euv로 한 번 찍을걸 여러번 찍어야 하니까요. 게다가 에칭 같은 이후 공정까지 여러번 해야 합니다.
euv가 발달 할 수록 밀려날 기술입니다만 공정이 미세화 되면 duv가 아니라 euv로 멀티 패터닝을 하게 됩니다.
만년유망주
20/08/30 10:40
수정 아이콘
관련업계에서 일하고 있어서 아주 흥미롭게 읽었습니다. EUV의 자세한 원리에 대해서는 이 글에서 처음 보게 되었는데 그럼 EUV의 패턴이 DBR에 새겨지면 패턴이 바뀔때마다 새로운 DBR을 제작해야 하는건가요?
20/08/30 11:23
수정 아이콘
반갑습니다.^^ DBR은 그냥 인공 거울이라고 보시면 되고, 그 위에 일종의 마스터 패턴을 흡광 박막으로 원하는 feature를 갖게끔 패터닝하는 것이 필요할 것입니다. 그런데 DBR 기술도 넘사벽이고, 광경로 최적화를 위해 DBR를 배치하는 것도 난이도가 엄청나더군요.
가라한
20/08/30 15:10
수정 아이콘
DBR은 미러를 얘기하는 거고 카메라의 렌즈 같은 겁니다. 패턴은 레티클이라는 게 따로 있어서 레티클을 교체해 가며 씁니다.
조유리즈
20/08/30 10:44
수정 아이콘
리쏘도 중요하지만 에칭도 너무 어렵고 요즘은 온갖 스텝에서 이슈가 다 터진다고 하더라구요.
과연 메모리에서도 EUV를 쓰게될지도 궁금해져서 검색해보니 삼성은 1x에 EUV 적용한 제품 생산까진 했었군요.
양산으로 들어오면 얼마나 끔찍할지.. 제조 / PI에 경의를 표합니다 크크
20/08/30 11:25
수정 아이콘
그렇습니다. 에칭도 예전처럼 2차원 패턴 정도만 고려한다면 큰 문제가 아니었는데, 요즘처럼 자꾸 100층이니, 200층이니 위로 쌓으면서, 또 금속-절연체-금속 같이 서로 완전히 성질이 다른 재료들을 쌓기, 격자상수가 다른 화합물 반도체 층을 번갈아 쌓기 등의 복잡한 공정에서는 굉장히 이슈가 되는 기술이 됩니다. 방향이야 결국 3 nm 이하로 가야하니까, 삼성이라고 한들, 앞으로 10년 정도는 계속 EUV에 의존해야 할 것입니다. 그리고 그 다음은 엑스선이 될 텐데, 그쪽은 전인미답이라 어떻게 흘러 갈지는 모르겠습니다.
닉네임을바꾸다
20/08/30 11:41
수정 아이콘
전공정이 너무 빡세니 후공정같은 부분 특히 패키징에도 요즘은 뭔가 많은 이야기들이 나오는거 같긴 하던데 말이죠...
20/08/30 11:50
수정 아이콘
전공정이 너무 빡세서, 아예 후공정 하는 회사들을 미리 불러 모아 기술 지도를 다 해주고 이렇게만 만들어다오 라고 할 정도입니다.
어강됴리
20/08/30 11:43
수정 아이콘
생산라인 하나 설치하는데 1조 5천억이라.. 왜 AMD고 인텔이고 공장없애는지 알겠네요
닉네임을바꾸다
20/08/30 11:44
수정 아이콘
(수정됨) 뭐 암드가 글로벌 파운드리를 분리하건 한참 옛날이지만요 크크
그리고 인텔은 지금 일부 간만 보는거지 아직 없에기에는 멀었죠...최소한 현재 10나노 슈퍼핀인가 진행하던게 있는데...
만약 인텔이 자사생산 포기한다면 그 막대한 물량을 과연...누가 먹을지...크크
20/08/30 11:51
수정 아이콘
인텔도 참 선행 기술 개발이 노답이 되어 버려서...
닉네임을바꾸다
20/08/30 11:58
수정 아이콘
(수정됨) 근데 말이죠 어떤 의미로는 인텔의 14나노가 얼마나 대단했고 그걸 얼마나 우렸는지...타사의 7나노로 만든게 성능에서 압도적으로 우위는 못점하고 있다는게 읍읍...
20/08/30 12:15
수정 아이콘
근데 또 그렇게 따지면 AMD가 망하기 직전 리사수 누님 영입 후, 다시 살아 나는 과정에서 예전에 버리다 시피 했던 장비들을 영혼까지 끌어 모아 살려서 다시 업력을 쌓기 시작한 과정도 눈물 없이는 볼 수 없...
잠만보
20/08/30 13:21
수정 아이콘
리사 쑤 누님의 업적은 잘 알았는데 그 정도로 눈물겨운 줄은 몰랐네요

혹시 시간되시면 다음에 해당 내용 썰도 부탁드립니다 :)
20/08/30 13:22
수정 아이콘
거의 반도체 기술사를 다 짚어야 할 것 같습니다.^^ 대하소설 보는 느낌이실 겁니다.크크크
닉네임을바꾸다
20/08/30 13:56
수정 아이콘
리사 수 CEO 취임때가 그야말로 주가로 보면 저점중에 저점이였...
잠만보
20/08/30 14:02
수정 아이콘
그 땐 암드 망한다 망한다 소리 계속 나올 때엿죠

CEO 한명으로 이만큼 암드가 변할 껄 예상한 사람은 아무도 없었을 껍니다

리사 쑤 누님도 이정도로까지 성장할 꺼라곤 본인도 예상 못하지 않았나 싶네요

마소도 CEO 바꾸면서 잘나가는걸 보면 요즘 시대에는 CEO가 누구인가가 주가 및 회사발전에 아주 중요한 영향을 미치는거 같습니다
20/08/30 14:32
수정 아이콘
요즘시대뿐 아니라 임진왜란때 수군지휘관 하나 바꾼 결과를 우리는 알지않습니까.
국가나 회사나 군대나 지도자는 무조건 중요하죠.
잠만보
20/08/30 14:35
수정 아이콘
Yang 님// 네 말씀하신대로 주식에서도 회사 가치 평가할 때 CEO가 누구인지가 참 중요한데

리사 수, 사티아 나델라를 보면 다른 지표 이상으로 CEO의 중요성을 다시 한번 체감했습니다

그래서 뒤늦게라도 암드, 마소 주식 구입 중입니다 흐흐
20/08/30 11:51
수정 아이콘
노광 장비만 1.5조입니다. 다른 장비와 패키징 공정, 기타 후공정 다 하면 여기에 다블 붙이면 됩니다. 덜덜덜
닉네임을바꾸다
20/08/30 11:55
수정 아이콘
TSMC나 삼성이나 매년 들이박는 돈이 수조도 아니고 수십조를 집행하고 있으니...
20/08/30 12:14
수정 아이콘
가히 '돈없으면 쫄려 뒤지시던가' 게임이죠.덜덜덜
독수리의습격
20/08/30 11:54
수정 아이콘
라인 하나만 깔았을 때, 그것도 노광장비만 깔았을 때 대략 저 정도라는 거고 뒤에 추가로 들어가는 후공정까지 포함하면 거기에 더 들어갈 겁니다. 게다가 라인을 보통 하나만 깔진 않죠.....삼성이 이번 평택 공장에 이미 들이부은 돈만 17조고, 앞으로 그 정도 돈을 추가로 계속 들이붓는다는 계획입니다. (참고로 인천공항에 90년대부터 여태까지 들인 돈이 약 13조정도.......) 진짜 양산기술에 자신있는 삼성이니까 저렇게 갖다 박는거지, 웬만한 제조업 회사들은 저렇게 못 합니다. 들이부었다가 수율 안 나오면 몇 년 내로 그냥 망하는 거니까요. 일본 기업이 저러다가 망했고, 현존 기업으로는 삼성이랑 TSMC정도만 가능할 겁니다.
닉네임을바꾸다
20/08/30 11:57
수정 아이콘
TSMC야 그걸로 먹고 사니 늘 하던 짓에 가까울...크크
DownTeamisDown
20/08/30 14:18
수정 아이콘
사실 삼성도 메모리에서 매일 하던거라... 그걸 이제 비메모리에서도 한다는게 차이겠죠?
적울린 네마리
20/08/30 12:09
수정 아이콘
오늘자 뉴스에 양산발표한 평택2기 공장만 기투자된 게10조입니다
그 건물안에 4개라인이 있고 그중 1개라인이 양산시작한거고 2라인~4라인은 아직 건설중이니 어마어마 산 자본이 투입되지만 반대로 매해 영업이익이 또 후덜덜한지라...
20/08/30 12:14
수정 아이콘
화웨이가 비슷한 규모로 투자를 시작해서 노광 장비가 들어 오기만을 바라고 있었는데...
적울린 네마리
20/08/30 17:23
수정 아이콘
화성과 평택 EUV동 퓨쳐분 공사개시가 11월이라는데 ... ASML 계약된 걸로??
바카스
20/08/30 12:06
수정 아이콘
반도체 후공정에 몸 담은지 8년차 엔지니어인데 전공정에 대해 이렇게 자세한 글은 사내 전자문서를 봐도 접근하기가 어려웠는데 잘 풀어서 설명해주셔서 감사합니다.
20/08/30 12:13
수정 아이콘
저도 모든 것을 자세하게 알지는 못 해서..그래도 재미있게 읽어 주셔서 감사합니다. DBR쪽은 제가 연구하는 분야라서 조금 더 깊게 들여다 보고 있습니다.^^
브리니
20/08/30 12:15
수정 아이콘
독자 규격과..현세대와 다음 세대의 기술보리고개..엉뚱한데서(생각하기어려운) 중국이 쪼개질 수도 있겠군요. 잘봤습니다.
20/08/30 12:20
수정 아이콘
미국이 중국 스트레스 테스트할 수 있는 20단계인가가 있다는 보고서를 예전에 어디선가 본 적이 있는데, 금번 제재는 아주 난이도가 낮은 축에 속합니다. 덜덜덜
20/08/30 12:25
수정 아이콘
저는 포토가 아니라 증착쪽에 있어서 아주 자세히 아는건 아니지만 euv는 장비가격도 있지만 운영비도 만만치 않다고 들었네요.
20/08/30 12:32
수정 아이콘
현업에 계시는 군요. 말씀처럼 장비비, 설치비 외에도, 교육 훈련과 초기 장비 세팅에 돈과 시간이 많이 소모됩니다. 교육 받으러 왔다갔다 많이 하죠.
20/08/30 12:32
수정 아이콘
어디 투자하면 될까요 크크크.
인텔이 이렇게까지 될 줄은 생각도 못했는데 거참..
20/08/30 12:40
수정 아이콘
ASML과 TSMC, 그리고 암드에 투자하세요.크크 인텔은..뭐 알아서 잘 하겠죠. 외계인이 있으니
잠만보
20/08/30 13:26
수정 아이콘
꿀 정보 감사합니다 크크크

안그래도 언택트 주 어디에 투자해야하나 고민이었는데 ASML 홀딩스, TSMC ADR에도 있는 돈 투자해야겠네요 흐흐

암드는 이미 투자 중입니다 :)
20/08/30 13:29
수정 아이콘
훌륭한 투자자이십니다.^^
잠만보
20/08/30 13:31
수정 아이콘
바쁘신데 좋은 답변 계속 달아주셔서 감사합니다!

좋은 주말 보내세요!!!
20/08/30 13:32
수정 아이콘
잠만보님도 평안한 주말 되시길 바랍니다!
20/08/30 14:42
수정 아이콘
꿀정보 감사합니다(2)
므라노
20/08/30 12:45
수정 아이콘
옛날에 기본적인 패터닝 원리 같은 글 볼 때 이 쪽은 일본 기업들이 꽉 잡고 있다는 얘기를 들었는데 한참 철지난 얘기였군요.
이런거 볼 때마다 뭔가 기분이 복잡합니다. 우리나라 제조업의 대부분이 원천기술이나 제품을 만드는 기계류는 죄다 해외에 의존하니까요. 확실히 이런 분야는 짬밥이 쌓인 선진국 몫이긴 하죠. 어찌보면 서구권과 척 지는 날엔 산업기반 자체가 다 날아갈테니.

밑에 아리쑤리랑 님 글도 그렇고 확실히 우리나라는 미국의 시장 접근 허락 아래에 전폭적으로 큰게 맞나 봅니다. 뭐 그렇게 판 깔아 줘도 못주워먹은 나라들이 많으니 자괴감 가질 필요 없지요. 세계정치라는걸 단순히 나랑 상관없는 여흥거리 정도로 생각하고 찾아봤는데 이런거 볼수록 세상의 핵심이란 걸 느낍니다.
20/08/30 12:49
수정 아이콘
그렇습니다. 사실 한국 같은 나라는 굉장히 포지션이 애매했는데, 운발, 60-70년대 냉전 상황으로 인한 미국의 한국 키우기 전략, 교육 잘 받은 인력들이 중화학, 장치산업, 그리고 전자공학에 수십년간 꾸준히 유입되었다는 점이 맞춤맞게 잘 작용했죠. 앞으로가 문제입니다. 더 이상 인력 갈아 넣어서 버틸만한 수준도 아니고, 국가가 나서서 기술 개발을 독려할 수 있는 성질의 것도 아니며, 중국은 이제 엄연힌 G2죠. 상황이 한 두 세대 전과 비교하면 완전히 상전벽해 수준으로 달라졌습니다. 일본의 제조업 몰락 추세를 강건너 불구경하듯 지켜보고 있지만, 그 불이 언제든 한반도로 올 수 있다는 것도 잊으면 안 됩니다. 빠르게 변하는 산업 구조 개편 속에서 엣지를 놓치면 후발주자로 전락하는 것은 정말 순식간이죠. 자전거로 비유하자면 5 km 짜리 12도 경사의 업힐 오르는데 1 시간 낑낑 올랐더니, 막상 내려 가는 것은 3분도 안 되는 것과 비슷합니다.
아케이드
20/08/30 13:39
수정 아이콘
노광공정 중에 스테퍼(stepper)라는 웨이퍼에 회로를 새기는 공정은 네덜란드의 ASML이 독점하고 있는게 맞지만, 검사 등 나머지 공정들에서는 여전히 토쿄 일렉트론 등 일본 업체들이 독점에 가까운 장비들이 있고, 그 중 어떤 장비도 없으면 반도체를 만들 수 없습니다.
그래서, 반도체 공정에 대해 잘 알지도 못하는 분들이 EUV로 넘어가면 이제 일본 업체 없어도 된다는 식으로 말하곤 하던데, 전혀 그렇지 않구요.
심지어 ASML도 일본의 원천기술을 사용하고 있기 때문에 장비만 네덜란드 제이지 제조에 사용되는 소재들은 대부분 일본의존에서 벗어날 수 없습니다.
20/08/30 13:45
수정 아이콘
중요한 포인트입니다. 그래서 작년에 수출제한 사태가 터졌을 때 많은 분들이 우려 섞인 전망을 하시기도 했죠. 그렇지만, ASML만큼의 초격차까지는 아니었던 것 같습니다. 일부 품목과 공정 장비는 일제가 확실히 우위를 지키고 있는 것들이 있지만, 초격차까지는 아닌 것 같습니다,
아케이드
20/08/30 13:55
수정 아이콘
그 초격차인가 아닌가라는 것도, 라이벌인 미국 장비업체 입장에서야 초격차가 아닐수 있지만, 아직 한참 기술력이 떨어지는 다른 나라 업체들에게는 초격차일 거라...
닉네임을바꾸다
20/08/30 14:11
수정 아이콘
뭐 국가적 입장에선 직접 기술을 개발해서 사용하는게 중요할수도 있지만 기업입장에선 업체가 다변화되어있다면 한쪽을 배제하더라도 그냥 다른쪽 써서 굴러가면 그만이니까요...
아케이드
20/08/30 14:13
수정 아이콘
그렇게 보자면 그런데, 또 그렇게 보자면 ASML도 딱히 필수가 아니긴 합니다.
닉네임을바꾸다
20/08/30 14:14
수정 아이콘
뭐 EUV 공정만 따지면 현재 독점구도인건 맞죠...물론 기존공정으로도...n나노대 공정을 진행할 순 있겠지만...그 n값도 점점 줄일려는 시점에서의 부담은 기존공정으로 진행하는게 더 클테니까요...
아케이드
20/08/30 14:15
수정 아이콘
말씀대로입니다
닉네임을바꾸다
20/08/30 14:18
수정 아이콘
TSMC가 기존공정으로 밀어붙인건 공정에 선진입해서 시장을 장악하기 위해 약간 희생을 한거라 봐야겠고
굳이 계속 무리해가면서 기존공정으로 갈 이유는 없겠죠...현시점에선...
아케이드
20/08/30 14:22
수정 아이콘
아마 라이벌인 삼성보다 하루라도 빨리 7나노로 이행하려고 좀 무리해가면서 이행한 것으로 알고 있습니다.
기존공정으로 7나노 시도하면서 웨이퍼를 거의 3만장 정도 폐기처분했다고 하니...
EUV쪽이 초기 비용은 막대하지만, 안정화되면 효율성 면에서 비교가 안될 정도로 유리하니 주류가 될수 밖에 없겠죠
가라한
20/08/30 15:05
수정 아이콘
죄송합니다만 현업 반도체인인데 잘못 알고 계신 부분이 많으신 듯 하여 조금 말씀 드립니다.
ASML은 stepper가 아니고 scanner를 만드는 회사구요.
노광 외 타 공정 중 일본 장비가 많은 것은 사실이지만 독점인 부분은 아마 track 정도일 겁니다. 과점이긴 해도 미국 회사와 경쟁 관계이고 실제 작년 이후 신규 fab에 일본 장비 거의 빼는 걸로 알고 있습니다.
게다가 ASML이 일본의 원천 기술을 쓰다뇨. 전혀 사실이 아닙니다.
너무 반도체 바닥을 일본을 실제 보다 크게 말씀하셔서 한 마디 남깁니다.
아케이드
20/08/30 15:16
수정 아이콘
(수정됨) 'ASML은 stepper가 아니고 scanner를 만드는 회사구요' -> 둘다 만듭니다. 아래 링크 참조
https://wiki.nanotech.ucsb.edu/wiki/Stepper_3_(ASML_DUV)

ASML이 EUV기기를 개발할때 일본업체들의 특허를 침해해서 보상금을 지불한 바 있습니다.
참고로, EUV원천기술은 일본이 개발한게 맞습니다. 노광장치 개발에 최초-유일하게 성공한게 ASML인거지...
https://www.nikkei.com/article/DGXLZO15694840U7A420C1TI1000/

'너무 반도체 바닥을 일본을 실제 보다 크게 말씀하셔서' --> 마치 반도체 바닥에서 일본은 이제 필요없다는 듯 말씀하시는 분들이나 언론이 많아서 현실은 그렇지도 않다는 걸 말씀드린 정도입니다.
가라한
20/08/30 15:23
수정 아이콘
80년대 한 패턴씩 찍던 장비를 stepper라 하고 한 패턴을 긁어 가면서 찍는 장비가 step & scanner 라 하고 줄여서 scanner라 합니다. 노광 장비가 scanner로 바뀐게 90년대 후반이고 첨부하신 일본 발 기사는 ASML 한테 밀리는 니콘이 EUV가 아니라 immersion 장비에 대해 소송을 건 겁니다. EUV와 아무 관련이 없구요.

일본 업체들과 기술 여력이 역전 된 것은 20년이 넘구요. immersion에서도 일본 업체들이 ASML 장비를 베껴서 2,3년 격차를 두고 따라 만들기 하는 실정입니다. 실제 ASML이 여유가 있어서 그렇지 맘 먹고 일본 업체들 특허 고소하면 그쪽이야 말로 줄줄이 달려 나옵니다.

그리고 EUV 원천 기술을 가진 업체는 일본이 아니고 미국 업체입니다. ASML이 대략 15에서 20년 전에 인수했구요. 그 이후로 원천 기술 가지고 양산 장비 만들어 내는대만 15년 넘게 걸리고 천문학적인 돈이 투자 되었습니다. 괜히 일본 업체들이 손 든게 아니지요.

아무튼 노광쪽에서 일본 업체들은 기존에도 일본과 인텔 제외하면 명맥만 유지하는 상황이었고 EUV에서는 퇴출입니다. 그리고 한국내 반도체 산업에서도 실제 중요한 역할을 했지만 대체 불가하지 않고 실제 지난해 이후 대부분 대체 되는 중입니다.
아케이드
20/08/30 15:45
수정 아이콘
(수정됨) step과 scan이 통합되어서 통상 scanner라고 부른다고 해서,
ASML이 stepper가 아니고 scanner를 만드는 회사가 되는 건 아니죠 실제로 둘다 만들고 있는데,

그리고 반도체 전량을 EUV로만 만들수 있는 것도 아니고,
EUV로 넘어간다고 해도 소재 포함 일본기술이 정말 완전히 필요없어 지는 것도 아닌데,
너무 일본기술 무용론을 주장하시는 것 아닌가요?
하긴 요즘 우리 언론 기사들 보면 '반도체 후진국 일본'이라는 기사까지 나올 정도이긴 합니다만...
https://www.news1.kr/articles/?4000078

정말로 필요없다면. 이참에 일본 기술 100% 퇴출하고 미국-유럽 기술로 넘어가서 일본 혼내줍시다. 가능하다고 생각하시나요?
아케이드
20/08/30 13:50
수정 아이콘
참고로, 본문내용만 보면 마치 EUV장비 없이는 10nm이하 공정이 불가능한 것처럼 보일 여지가 있는데 ASML은 EUV를 사용하지 않고, 기존 Arf장비만으로 7nm, 5nm공정에 성공해 삼성을 한발 앞서가는 계기가 되었죠
20/08/30 14:00
수정 아이콘
디테일 보강 감사합니다.^^
VictoryFood
20/08/30 13:59
수정 아이콘
문외한도 대략적인 원리를 이해할 수 있네요.
쉽게 글 써주셔서 감사합니다.
궁금한게 패터닝을 위해 광원(UV)과 거울(DBR)이 중요하다는 건 알겠는데 광원은 광학적인 기술력이 필요할 거 같은데 거울은 거울이 광원을 흡수하는 거 외에 기계적인 문제는 없나요?
거울이면 빛을 반사해야 하는데 장비가 돌아가다 보면 어쩔 수 없는 진동이 있을 거고 그 진동으로 기계들이 미세하게 위치가 변하게 되잖아요.
아무리 미세하게 위치가 변한다고 해도 나노미터 단위의 공정이면 그것도 어마어마할 거 같은데요.
매 작업마다 영점을 조정해도 그 영점을 위한 영점을 다시 맞춰야 하고 등등등...
이런게 양산시 수율에 직접적인 영향이 오는 걸까요?
20/08/30 14:02
수정 아이콘
그렇습니다. 그래서 광학 기술력이 세계적인 회사들과 파트너쉽을 계속 유지하는 것이죠. 광학소자, 광학계 모두 중요하고, 말씀하셨듯, 각도가 아주 조금만 틀어져도 패턴의 퀄리티가 확확 나빠지니, 정말 초정밀 광학 시스템 설계 기술도 반드시 있어야 합니다.
가라한
20/08/30 15:08
수정 아이콘
거울 아니어도 그런 부분이 옛날 부터 광학 장비가 고가 장비가 되는 이유입니다. 실제 ASML이 잘 하는 부분도 이런쪽이구요. Stage(제어)나 metrology(측정) 같은게 정말 중요한 부분이죠.
광학 장비를 Carl Zeiss에 외주 주는 ASML과 달리 니콘, 캐논은 본인들이 광학 회사라 노광 장비에서 앞서 나갔지만 어찌 보면 이런쪽에서 딸려서 판세가 뒤집혔다고 볼 수도 있죠.
20/08/30 22:50
수정 아이콘
비교우위라는 것이 별 것 아닌 것 같은데, 결국 선택과 집중 생각하면 ASML이 굉장히 영리했던거죠. 위험을 분담하되, 신뢰를 구축하는 전략으로..
20/08/30 14:33
수정 아이콘
이해 못하는 부분이 적지 않았지만, 잘 읽었습니다.
근데 우한의 HSMC(2017년 설립, 22조원 투자))에서 추진하고 있던 반도체개발 프로젝트가 멈출 위기에 있다고 들었습니다.
대규모 자금부족상황으로 장비가 은행에 압류된 상태라고 하는군요.
중국이 사활을 걸고 반도체기술 자립국은 물론, 나아가 기술패권국이 되기 위해 필사적으로 매달리고 있으나, 현실은 냉혹 + 요원한 것 같습니다.
미국이 장비 기술 재료를 수출제한 또는 원천봉쇄(세컨더리 보이콧)할 경우, 중국에게 무슨 방도가 있을까요.
20/08/30 14:37
수정 아이콘
중국이 아무리 돈이 많다고 해도, 그 한계가 있을 것이고, 한계까지 미국이 제재를 멈추지 않으면 중국도 답이 없겠죠. 과연 자력갱생할 체력이 버팀목이 될 정도일 것인지 저도 궁금합니다. 계속 주시해야겠죠.
나눔손글씨
20/08/30 18:44
수정 아이콘
반도체 공장이 왜이렇게 전기를 많이 필요로 하는지 궁금했는데 이해가 되었습니다. 이래서 반도체 공장과 345kV 변전소는 세트로 다니는군요. 평택에는 당진화력과 GSEPS에서 발전되는 전력을 다이렉트로 받는 500kV HVDC 선로랑 변환소도 지어지고 있으니 그 규모에 매번 놀랍니다.
20/08/30 22:51
수정 아이콘
장비비와 설치비 못지 않게 전기료가 엄청나죠. 오죽하면 제철소보다 더 전기를 많이 쓴다는 이야기가 나올 정도죠.
모데나
20/08/30 19:17
수정 아이콘
일반인이라 기술적인 부분은 읽어봐도 잘 모르겠지만, 중국이 이 부분에서 우리나라를 제치는 상황이 오기 어려운 상황이 됐다니 기분이 좋네요.
20/08/30 22:49
수정 아이콘
현재로서 어려울 것이라는 전망일 뿐이지, 불가능하다고는 단언할 수 없습니다. 한계까지 봉착한 상황에서 전혀 뜻밖의 돌파구가 나오지말라는 법이 없으니까요.
Albert Camus
20/08/30 21:15
수정 아이콘
엄청난 양질의 글이네요. 잘 읽었습니다. 감사합니다.
20/08/30 22:49
수정 아이콘
좋게 읽어 주셔서 감사합니다. 사실 구글링에 공개된 정보만 취합해도 잘 알 수 있는 내용들이긴 합니다. 저는 그냥 엮은 것 뿐입니다.^^
20/08/30 21:42
수정 아이콘
관련 전공 학부생인데, 당연한 것이겠지만 확실히 교과서로 배우는 내용과는 상당히 괴리가 있네요. 좋은 글 감사합니다.
20/08/30 22:48
수정 아이콘
교과서와 괴리가 있다기 보다는, 이 분야 기술이 그만큼 발전이 빨라서...읽어 주셔서 감사합니다.^^
20/08/31 16:27
수정 아이콘
대단한 글이네요. 양질의 지식을 전달해 주셔서 감사합니다!
20/08/31 20:51
수정 아이콘
읽어 주셔서 감사합니다. 저도 계속 공부중입니다.
정체성없이정체된정체
20/09/02 17:39
수정 아이콘
좋은글 잘 읽었습니다 감사합니다.
하나 궁금한게 있는데
실제 ASML노광장비가 웨이퍼 패터닝을 하려면 설계데이터가 이미 패터닝되어 있는 마스크를 사용하여서 패터닝(전사?)하고 있는 것으로 알고 있는데 삼성이나 TSMC는 마스크의 패터닝 공정도 직접 진행하고 있나요?
20/09/02 18:19
수정 아이콘
직접 합니다. 10 nm 이하는 두 회사 밖에 못 해요.
목록 삭게로! 맨위로
번호 제목 이름 날짜 조회 추천
88066 일본 반도체 왕국 쇠망사 4 [18] cheme22140 20/09/12 22140 25
88065 일본 반도체 왕국 쇠망사 3 [16] cheme24208 20/09/12 24208 27
88063 일본 반도체 왕국 쇠망사 2 [10] cheme24242 20/09/12 24242 34
88059 일본 반도체 왕국 쇠망사 1 [66] cheme20354 20/09/11 20354 82
87982 중국 반도체 굴기의 미래 (절망편) [84] cheme17909 20/09/05 17909 63
87968 중국 반도체 굴기의 미래 (번외) [89] cheme17822 20/09/04 17822 36
87937 중국 반도체 굴기의 미래 (부록) [53] cheme15758 20/09/02 15758 45
87930 중국 반도체 굴기의 미래 (완) [102] cheme16930 20/09/02 16930 82
87922 중국 반도체 굴기의 미래 2 [95] cheme19266 20/09/01 19266 83
87871 중국 반도체 굴기의 미래 1 [120] cheme26527 20/08/30 26527 89
85379 미국 신규 실업급여신청자수 사상 최대치 돌파 [54] cheme17174 20/03/26 17174 4
83221 [양자 우월성 달성은 가능한가?] [37] cheme53960 19/10/24 53960 15
82186 [류현진은 역사상 최고의 ERA+ 시즌을 만들어 낼 수 있을까?] [97] cheme13544 19/08/12 13544 35
78142 수학적 아름다움은 물리학을 어떻게 이끌었는가? [103] cheme19433 18/09/06 19433 94
77357 실험적으로 입증될 수 없어도, 그래도 여전히 과학인가? [27] cheme11744 18/06/21 11744 40
76396 암흑물질이 '없는' 은하 발견 소식 [122] cheme18338 18/03/29 18338 48
74192 2017 MLB 포스트시즌 4강 대진 확정 소식 [34] cheme8212 17/10/13 8212 3
70348 문재인의 차기 내각 인선의 오류 반복 가능성? [48] cheme9715 17/02/03 9715 3
69451 [도올이 묻고 문재인이 답하다] 라고 쓰고 [도올이 유도하고 문재인이 맞춰준다]라고 읽는다 [65] cheme10982 16/12/16 10982 4
62313 삼행시 대회 피자 이벤트 추첨 결과입니다. [18] 원시제5856 15/11/30 5856 8
59980 [야구계층] 우리는 메이저리그 선발투수 조정방어율 300의 시대를 볼 수 있을 것인가? [64] cheme9473 15/07/23 9473 4
59111 작가 신경숙 일부 작품 표절 논란 [115] cheme15344 15/06/16 15344 8
58322 [매드맥스 짧은 감상평 및 몇 가지 팁과 의문점_스포주의] [9] cheme7026 15/05/20 7026 1
목록 이전 다음
댓글

+ : 최근 1시간내에 달린 댓글
+ : 최근 2시간내에 달린 댓글
맨 위로